From a91e1252d096f09209a76c5998b47321923b51dd Mon Sep 17 00:00:00 2001 From: Luca Cuzzocrea Date: Wed, 28 Aug 2019 21:50:05 +0200 Subject: [PATCH] Sommatore 1 --- AddSub.vhd | 20 + Adder.vhd | 36 + AdderTest.vhd | 90 + AdderTest_isim_beh.exe | Bin 0 -> 21792 bytes AdderTest_isim_beh.wdb | Bin 0 -> 6399 bytes IEEE754Adder.xise | 54 +- SpecialCasesCheck.ucf | 0 SpecialCasesCheck.vhd | 2 +- SpecialCasesCheck.xdl | Bin 0 -> 6582 bytes SpecialCasesCheck_isim_beh.exe | Bin 0 -> 21792 bytes fuse.log | 32 +- fuseRelaunch.cmd | 2 +- isim.log | 2 +- .../AdderTest_isim_beh.exe | Bin 0 -> 50451 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 5076 bytes isim/AdderTest_isim_beh.exe.sim/isimcrash.log | 0 .../AdderTest_isim_beh.exe.sim/isimkernel.log | 29 + isim/AdderTest_isim_beh.exe.sim/netId.dat | Bin 0 -> 84 bytes isim/AdderTest_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 2802 bytes .../work/AdderTest_isim_beh.exe_main.c | 40 + .../work/AdderTest_isim_beh.exe_main.lin64.o | Bin 0 -> 2768 bytes .../work/a_3841309559_2737618828.c | 462 +++++ .../work/a_3841309559_2737618828.didat | Bin 0 -> 4928 bytes .../work/a_3841309559_2737618828.lin64.o | Bin 0 -> 6488 bytes .../work/a_4008929629_2372691052.c | 154 ++ .../work/a_4008929629_2372691052.didat | Bin 0 -> 4312 bytes .../work/a_4008929629_2372691052.lin64.o | Bin 0 -> 3792 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 8588 bytes .../SpecialCasesCheck_isim_beh.exe | Bin 0 -> 55073 bytes .../isimcrash.log | 0 .../isimkernel.log | 29 + .../netId.dat | Bin 0 -> 52 bytes .../tmp_save/_1 | Bin 0 -> 8136 bytes .../SpecialCasesCheck_isim_beh.exe_main.c | 43 + ...pecialCasesCheck_isim_beh.exe_main.lin64.o | Bin 0 -> 3048 bytes .../work/a_0557987184_1272247069.c | 368 ++++ .../work/a_0557987184_1272247069.didat | Bin 0 -> 4632 bytes .../work/a_0557987184_1272247069.lin64.o | Bin 0 -> 5512 bytes .../work/a_1540508602_4151211736.c | 278 +++ .../work/a_1540508602_4151211736.didat | Bin 0 -> 5160 bytes .../work/a_1540508602_4151211736.lin64.o | Bin 0 -> 4888 bytes .../work/a_1684417184_3395701438.c | 31 + .../work/a_1684417184_3395701438.didat | Bin 0 -> 3668 bytes .../work/a_1684417184_3395701438.lin64.o | Bin 0 -> 1880 bytes .../work/a_2347761600_1146481140.c | 180 ++ .../work/a_2347761600_1146481140.didat | Bin 0 -> 3488 bytes .../work/a_2347761600_1146481140.lin64.o | Bin 0 -> 4144 bytes .../work/a_3914402253_2628201599.c | 221 +++ .../work/a_3914402253_2628201599.didat | Bin 0 -> 5044 bytes .../work/a_3914402253_2628201599.lin64.o | Bin 0 -> 4352 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 9797 -> 9797 bytes .../isimkernel.log | 8 +- .../work/a_0557987184_1272247069.didat | Bin 4632 -> 4632 bytes .../work/a_1540508602_4151211736.didat | Bin 5160 -> 5160 bytes .../work/a_2347761600_1146481140.didat | Bin 3488 -> 3488 bytes .../work/a_2912948712_3395701438.didat | Bin 3692 -> 3692 bytes .../work/a_3914402253_2628201599.didat | Bin 5036 -> 5036 bytes .../work/a_4189535622_2372691052.didat | Bin 6500 -> 6500 bytes isim/isim_usage_statistics.html | 12 +- .../ieee/p_2592010699.didat | Bin 5868 -> 5868 bytes isim/temp/adder.vdb | Bin 0 -> 5106 bytes isim/temp/addertest.vdb | Bin 0 -> 4653 bytes isim/work/adder.vdb | Bin 0 -> 5356 bytes isim/work/addertest.vdb | Bin 0 -> 4648 bytes pa.fromNcd.tcl | 15 + planAhead.ngc2edif.log | 12 + planAhead_pid7025.debug | 92 + .../cache/SpecialCasesCheck_ngc_ec4f3bca.edif | 1762 +++++++++++++++++ .../IEEE754Adder.data/constrs_1/fileset.xml | 16 + .../IEEE754Adder.data/runs/impl_1.psg | 20 + .../IEEE754Adder.data/runs/runs.xml | 5 + .../IEEE754Adder.data/sim_1/fileset.xml | 10 + .../IEEE754Adder.data/sources_1/fileset.xml | 30 + .../wt/java_command_handlers.wdf | 3 + .../IEEE754Adder.data/wt/project.wpc | 4 + .../IEEE754Adder.data/wt/webtalk_pa.xml | 29 + planAhead_run_1/IEEE754Adder.ppr | 28 + planAhead_run_1/planAhead.jou | 10 + planAhead_run_1/planAhead.log | 83 + planAhead_run_1/planAhead_run.log | 74 + 80 files changed, 4236 insertions(+), 50 deletions(-) create mode 100644 AddSub.vhd create mode 100644 Adder.vhd create mode 100644 AdderTest.vhd create mode 100755 AdderTest_isim_beh.exe create mode 100644 AdderTest_isim_beh.wdb create mode 100644 SpecialCasesCheck.ucf create mode 100644 SpecialCasesCheck.xdl create mode 100755 SpecialCasesCheck_isim_beh.exe create mode 100755 isim/AdderTest_isim_beh.exe.sim/AdderTest_isim_beh.exe create mode 100644 isim/AdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/AdderTest_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/AdderTest_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/AdderTest_isim_beh.exe.sim/netId.dat create mode 100644 isim/AdderTest_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.c create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.lin64.o create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.c create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.didat create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.lin64.o create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.c create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.didat create mode 100644 isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100755 isim/SpecialCasesCheck_isim_beh.exe.sim/SpecialCasesCheck_isim_beh.exe create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/netId.dat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/SpecialCasesCheck_isim_beh.exe_main.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/SpecialCasesCheck_isim_beh.exe_main.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.didat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.didat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.didat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.c create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.didat create mode 100644 isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.lin64.o create mode 100644 isim/temp/adder.vdb create mode 100644 isim/temp/addertest.vdb create mode 100644 isim/work/adder.vdb create mode 100644 isim/work/addertest.vdb create mode 100644 pa.fromNcd.tcl create mode 100644 planAhead.ngc2edif.log create mode 100644 planAhead_pid7025.debug create mode 100644 planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif create mode 100644 planAhead_run_1/IEEE754Adder.data/constrs_1/fileset.xml create mode 100644 planAhead_run_1/IEEE754Adder.data/runs/impl_1.psg create mode 100644 planAhead_run_1/IEEE754Adder.data/runs/runs.xml create mode 100644 planAhead_run_1/IEEE754Adder.data/sim_1/fileset.xml create mode 100644 planAhead_run_1/IEEE754Adder.data/sources_1/fileset.xml create mode 100644 planAhead_run_1/IEEE754Adder.data/wt/java_command_handlers.wdf create mode 100644 planAhead_run_1/IEEE754Adder.data/wt/project.wpc create mode 100644 planAhead_run_1/IEEE754Adder.data/wt/webtalk_pa.xml create mode 100644 planAhead_run_1/IEEE754Adder.ppr create mode 100644 planAhead_run_1/planAhead.jou create mode 100644 planAhead_run_1/planAhead.log create mode 100644 planAhead_run_1/planAhead_run.log diff --git a/AddSub.vhd b/AddSub.vhd new file mode 100644 index 0000000..e792fe8 --- /dev/null +++ b/AddSub.vhd @@ -0,0 +1,20 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity AddSub is + generic( BITCOUNT: integer := 8 ); + port( + X, Y: in std_logic_vector((BITCOUNT-1) downto 0); + isSub: in std_logic := 0; + result: out std_logic_vector((BITCOUNT-1) downto 0) + ); +end AddSub; + +architecture CLAAddSubArch of AddSub is + +begin + + +end CLAAddSubArch; + diff --git a/Adder.vhd b/Adder.vhd new file mode 100644 index 0000000..783f011 --- /dev/null +++ b/Adder.vhd @@ -0,0 +1,36 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity Adder is + generic( BITCOUNT: integer := 8 ); + port( + X, Y: in std_logic_vector((BITCOUNT-1) downto 0); + carry_in: in std_logic; + result: out std_logic_vector((BITCOUNT-1) downto 0); + carry_out: out std_logic + ); +end Adder; + +architecture CarryLookAheadArch of Adder is + signal generation: std_logic_vector((BITCOUNT-1) downto 0); + signal propagation: std_logic_vector((BITCOUNT-1) downto 0); + signal carry: std_logic_vector((BITCOUNT-1) downto 0); + signal sum_no_carry: std_logic_vector((BITCOUNT-1) downto 0); +begin + generation <= X and Y; + propagation <= X or Y; + sum_no_carry <= X xor Y; + + carry_look_ahead: process (generation, propagation, carry, carry_in) + begin + carry(0) <= carry_in; + for i in (BITCOUNT-1) downto 1 loop + carry(i) <= generation(i) or (propagation(i) and carry(i-1)); + end loop; + end process; + + result <= sum_no_carry xor carry; + carry_out <= sum_no_carry(BITCOUNT-1) xor carry(BITCOUNT-1); +end CarryLookAheadArch; + diff --git a/AdderTest.vhd b/AdderTest.vhd new file mode 100644 index 0000000..ae199c6 --- /dev/null +++ b/AdderTest.vhd @@ -0,0 +1,90 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 17:01:26 08/24/2019 +-- Design Name: +-- Module Name: /home/Luca/ISE/IEEE754Adder/AdderTest.vhd +-- Project Name: IEEE754Adder +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: Adder +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-- Notes: +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY AdderTest IS +END AdderTest; + +ARCHITECTURE behavior OF AdderTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT Adder + PORT( + X : IN std_logic_vector(7 downto 0); + Y : IN std_logic_vector(7 downto 0); + carry_in : IN std_logic; + result : OUT std_logic_vector(7 downto 0); + carry_out : OUT std_logic + ); + END COMPONENT; + + + --Inputs + signal X : std_logic_vector(7 downto 0) := (others => '0'); + signal Y : std_logic_vector(7 downto 0) := (others => '0'); + signal carry_in : std_logic := '0'; + + --Outputs + signal result : std_logic_vector(7 downto 0); + signal carry_out : std_logic; + -- No clocks detected in port list. Replace clock below with + -- appropriate port name + signal clock: std_logic; + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: Adder PORT MAP ( + X => X, + Y => Y, + carry_in => carry_in, + result => result, + carry_out => carry_out + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + x <= "00010101"; + y <= "00001110"; + +END; diff --git a/AdderTest_isim_beh.exe b/AdderTest_isim_beh.exe new file mode 100755 index 0000000000000000000000000000000000000000..3209988d8d84424c4d5c423f8f5aaa98e3cf50c8 GIT binary patch literal 21792 zcmeHPe|%KcmB0A~DTPcx(C|Zf!k{2#NHC#EOmHSMkvDe02*F|(of#&RWHgzH^8mpFAK}b^6wo27n6~*6g1Qe^Kh}PNfx$oZOG2(VV zOaC)BpZCs>d(OG%p8Mn7d*7Sf(%`u~Hz$Y5l*^VfqLdOlXBl_Kd@DF9cvY;B+1X4s zgN*~H0GFNT5Hv6e2ZU(}3x!-BU_Rlwf{|-C5CLZy6q8VTNRY}KO?H7A@L54hh??+x z(j#AAuyYd7$B_~ERfDfiktHddLGF=Wp@WlvK8{FuNQg@)>qm8?YnG_rtXw-NqU{8j z!tbMy#{#^@x8lu&Z@SD7*mRklBNEmcY$l=9y9#>b=l?ZHKNq!!)-9=6)ZSd$9ttPA zO1r9-lrE{T#Ui%F-2Y-2q$)03v6`Ef@%V};XdYlauJdrsz%>#d!GJpkwo(%XkT(bmyAz&4*xwveC_eEd<3RT!S%;)l* zBC-$_n~d6w7yXawNGT6|iiJ$y7sx*Vn)%dLl%B+8=Fb%4td856$Qm!Qlh{bSO5x8G z^bR@y@J!s6bAL*h-ss~j*#^{)6wW#IM*ZP*{C@-A?9Ue1r4+zr<}1WR`UsbqKT{02 zJG1y7kTB9R*qg#8G3!D*r{(Ql@F%0iPk4NsCH;RDl-a&jbe!*H@n!sJdNRxZK=^-1 z*gquf3pTq5_NX0STWaU!itsa4@CUN^YXv`7w7VQ5k7oHp^`Z24Lf$UwE9avvoL|C@ zWwqA<=$QTggj-?kMJ|61+a~*=2++PP`LvL~5dEb1H2t;$e5$Wq_%G*<3Gmlk?$?E# zdMlWq8xNYTpjL>U*TvGDy17)^TC+s{c%6&2`o^NN#KOzi$BJ3-|K09d`YD563 zpD4?HoG}17O80_Ka{om4n zSk|~ZBKUe%yHI~O`yUYfp=SK);*NDebGxw)@OoQ2B4KYV?vKX3Ugo`Gy?14>H57{n zqt14JEEbHBbZ5LR8uT}lN*U3ycynOkLL!$iSm^5Vb_S!dNZ8*Vif{C;FJsrBLBL^LNl3B)5e zu$I=K!E1+HN3bInjI$QV1z1a*Y^3hN@ z-U8pdQ1dt-xg?^{;Sa$9L#->+?p>8w7u$${bgb}q1f^&omhiTO!XeajMdjDL%RE=s zJ3LMYVx--9-K%^e1kt4 zyc+EviiE#N!{gr=j2iKCUx_$3!%89+Y(B#pmoKK?^4E%Ac{yB)UfCH(MPdk}x7i=} z8=ZpJ)fwsB7!9?yp}(A2qnDwarcg&Pl8B#aYgL9L?UB|mY^haQ&2xs1S8s?!Z*Ya8 z!2r6$#`;7{OE7w-HK<-63T~h#w~}5;)`8 zrF?Nb(7w(e542IkASS_ZGap=HPB}9l%PZRavDK#x;xikmi}9F<#W#-3&q10Jz9{lW z6}+v{U?+2~S>vd8d&_N?uw@>1JxK2*wh9CHF19VU(MFo(;4hc|5oRnGa#`L8$DBu! zhP)Xwa3e=rGi;4Yjp|AL9PG6XuHi*4-(S-nnD(B({pk%@q2;q?zHVVGzTi$Qt@2m| zG$pcfD{w$6ew-Tq1Bg8+SW5!K!`Zz-TIUF-?^PN795dQ*>8MiX0k0Zpt zkYmQJ%IV_>@fUE+xY?aPju2m-jSxe1`Uvnd1M?of(di>L=P%}%aa-f`afIyfZo?^@ z+c|w4BR-v($kgWaag_Kq95Zg4oj#6GGl{JSr~92g4v8<<;>5Ve=_A01j}9@CwmE$q zC%)Ws65~fs9|0~e&wz;D?(`Ahd^%T=X{Xc2QL@o8Mjq?q`ZNG9NX6Tia5FEjD!EJ>y+ z6aP}l1hkv@bXF&mYT}C{OrE9o94XXa%3(~e$;B;!v8wj$E8Lr@{KLfx%gp--cgt)$ zFrwQ?Cw(Y`H1A!ap~%utbNY3nVS}XyIQ=5g)K$~lIema=>Z0jwoPL66>YC|(PCrgG zb^CNTr*{)Qp6E_a|BPtbCZ>IyevoLIiqego{yxzYh_-Y3UZSasr^`5fC(+ck(+a1* zP4pz9i#h!*qNyvV8K-X|ns#I9W1oRBFG@5`ap^;xzMg36;_0V3y^d(=+UWsKf0JnH z(&_D-UP&}fh3RdazJh2vI!yO-x}In{u1t4xx`ya;i0$r|vp; zg~HfsReLL5eAo(|fkIU)cxxd#!2u}03`9*9t9=I&Zw?il3)!6gds|vs`i-l9wc1m+ zY(6ZIfwOnQO|h#h7t_l*AvS?sxbh>Yt8pIJAu8YR76vlUsXgwf5X9Dxv3O zj;d8yEnDY8(vz$lf;CU7^bIuI&$;dd$I!T(%--sQH?L3wdv{TH^JwocPv-CY)T0f3 z_(1&CPt{c2ZpiPVuF83d-*AQfP{{0cCu{fV8N=6ZSg$?^Z*Tdpenaq9bq?=dHF@q@s!yu23>`&HUaHR{&AtJ*w$IX=q?o1ZA}CDN_Uxvv_$WE4A0nYt zT@V=_?X}(1=Z%bEWHj_;Zl0$mXIaaSs>!opT7_8ofJb{LGpP3LEz}=}kfZwj#A_by z?Z;?*=!ZUqJ$MG0QRmXvLk=Oj4~>{!iVm+PFF~RE(BsPo^gn-+$?$0FtDxN9((m}j z?vscXMZBT>sH181D)rVQYtCmZS@1DBy|&3}Ra1{xFGq(}wYasU{J1A|zts+FTCdd( zuI1r^XCc@E(gjlgIZ9AdMxLq-tD4JNs9#Q=<^dbVxkGqK%dkDa0&&}ftc5bmy=ze- zydmoE>~!x8HZKZc#U7`kmlwkGFN!wdz|%hWZ%2$7$OT zh^UXkFR#BdWK*>&#PtEpEUMPYeTqfMC;i8ygz?#h?Awto1LpVz?*-qcY;9P-6A&$@ zYD4-r3{;;D=uYM5tGgEAw4*f`Uc?0ohwX(Ze$kM9BGW%PX~-bQ?5_R>_$`j%{QMf~ zd^1q4u85N66HHZ3;;s(s)kO9UsM=mjuLhSI%8wtO+t7Q|(mPBsOjW*#E?b__C!6H_ zkW;l+P^kVO3L+RaJ3`^1R$avOZ<_g|t*zIC-_Uzp_?X!0No}&)xy_7zH}ceE+=}MS z=x!oXl|Fbu8S)VzK(Q^&SYwznf@&b-p`l=yiwqC`pS6Io~ z5we!5%oD~t4C9vG0n<6hJaO(HKo+s&KqF-Vh<4S;B;@#^l;c7k@Xxt&%C z8OWc~PM2~UpHUdb*TD2U`ZeIB`!H{!-NGnNZvz7jWa+&Ka~1jr2~`j;Rks59+!ss& zdKvMO9&5>?i>Tp}(^c(N{gV?y`4UXPYN{#u&H0Pf%;ReH6NwM{4rotXde0}-dl1v1{Bcaz$YN>}`>LM#6EN*P8q@R9g@+jp zQqk<+At{XL`T8}4VX#Bwy5ixRa;Bs-~rZ5N`BF(N0)qccVOT8*|jm0J!mwv^}l`A9tUe8{ee{_CP$}-hFaH{3jT{n7R+U`BN1m zEIu95mfrQKM#_<+-qXD)-#)?8dktuJU@)`Ckr~{4SN_uqW_><@OvWs|KS7z@C+8#{ zZs@~EdIEJ!g>q;bCi!waE1x@j9v(=6=ejpdC95|=!bKvPJ;a$4pKaOUnrg3|vpK&y z@qA`b<@wcIs20h`wx-|x1YWab{<{J(2f{LKl>wZK}+AmctUkw zYP0l4v8u%&x{50to2$%`C4pXOM$cIHrTuj>VyKerCg z;Qb3_E&ywYWMbX*8(MdzDTkIUV(8ohRHzry z6i4cnw?W9A+%!o2;XD+9=d1qf4|yA4uCes~5>uF-`Y4k*+y|3eU{3cU+gQ!?0i$X1 zARyZPGFlC!pW^d=<-g9xN@#^KzdBHeK7T}Q1u&YH%Jd2tPQMHx^7m@;m$op*I?*P4 zy&fz_@*KG9PI|bXD_~j;`~j1vHu0E4J16~)urT8k3zoj8XtFQ@Rz$ssOf+#5Z=6R} zEl&SCZ_r5>!(w+ev-GXyGxnsXc(%t{Ol}tIfBpkG++;0o8O|@BL!tT(31EgSLB3T@ z(gxuLu#(04f1*l=>sy$6P^Uux##8KLZlhowq`IpZhCF;jAG#OxV_m3pG8YqZQOdRjp7>72L=94Tbt6B&RjN-Gb>bxEo7CDkXsx z?X<5$$nj9Vs;w^Bt&nQ6pb|1_PZf)o!T948-_%-bj-zK^uBv&aL83|xyrj2sqppLP zzxJuAsoWzd>*p$rbbU9kLI&2nkAcxpj%R=SAPl)M!J`6e5$4pi9xG3j0?(*vPrZ?UVo`j#h8EvuGtrK7c9&xi{MU>niR2IikJv+s_p;{&S4jA zZh>g;=|#sdN^Zg9lSWAsaz}SlmcCzMCLkM`>Oo8D6)YaZ%OzQ&oHB!v`#VSMbhR@V%J|94JZOP6m?G zj)P3r^bF^zfsDH6ojg7GKKQ9gQ^{cs>i~35qhn6s9A}|Emx@eIdxRTu7Vo5v*;A8q zbG?IqGVluwSai2ZF67fvA(;as1x!CehBeo@)SRju6etc=#4Ls&!9t){Hu zd>RmN;1&G`?;%iE)8jo=_fv57hrlqVsuzKQ`zmq&d4#*q;2wm#`sNYte*m-WaOTvm zwcPc1?IO!v1BH)cwLt4W?NvN2^rKv@>odKX5N)tQ`-pND zXe!hlJAHjBul}S;Vj2tSI}Ne9#L3w^oM*#Z;vr`~vUA5(3bgE) z(7WH#uxE2Fiam>)=lkDceLc5D6L>Ah!~~A#(hf3{syl|UqxT{G z4cLc!<-*WdA9}QA>miTUZq+@hd4aPPR6K4y=E1)Ggj+kVYHO`etH^x;rzll8S#fL6 z>CYS{;{{6~>|U_Xz30=s<$Z6)&%?Qcwio9`gE=1UU5|F$rDZZ_(aD3m`ba`olWVPb zwzOM|J;`Qki3gbCp@q2zW33FQ5LL#Z5FI@6BO8R^&@_au=R_txt^6gNRV91qV^Fo{ zFb<1OWD-aDp$eTP(Fu?4q`=@XV=o%dl;{mgDSyApO7SL(|U0qEWCyVWrcL7w+L!$|Y}D zX=@_HO6AKnh8K>8g;CW~dVk1D`S%sI=ur56p*^@%3B^q2OTlN<+gPc5^M#F8kahZ5 zS4(?j1B*c?9A~A?p_qSNdoXUi#l~lujaRO0^17~B;aKi=dR^{Sj(SgncloMie4hrl zSSh~m-~@Nn^roFNZ4_XUM4VTLsRSh+QSc3iLf>g5VoIb%i6uHaBT)ndt|)Wn#pWpC zV6ZvZOp?(=Siz=`zE{9Yd^8IsVGplO+nbHLhGcxr>J)3}Q?r?ED2?qwe=Mj3!?8pZ z?zH*g%t#Rm!bgRoMdEEiC5F#9lxF#YT-neTE09E|$oV6WRKMWPD6tbi)w3_fpne;^Qy#X<;-s2tUg`b3SgoZhOE^Yj@E!8yFR z(GE~c5%Y%O;!+rHO?03ox&Of%6L1+0wcxWKWuy}+u?-=ZWVIUtEv>w_Z2E$3!^1q< zWq5J>oHKmxLPPa2%{`GR)eC0n>ziQc*oi#Iu==4v@R5;Q-!fK7J;z{j74B9 z0%H*vi@=vB0`kSi*fQYD)8=C}9gDzN1jZsT7J;z{$Otsr7MGP@QjUw6(9puhh2_=N zmE~p3gp!W&{dJ9rOP|Oy9LyQNUom0gmn^cR)5DS9dnoe*3lu7|lpIplgz#xe*TsrE z9Iz>l_I8E;Cr0u;KEP++ z&19zH5cW;LiveeWM=9r~#+59mt9aZ$zA{l^GbfHO>_@KgEwq&o*r_1sDxza&{*81k z;C%4S-`|o<6D|e(4zf^RRD4HnLs3b6ky2kYe@j6se`}trsO+|J&Y~(;k-diwzmZ6f z{9N;5Cc}SI2EAomixkMhd`D54qo`_GQNOci{B7g5;9wkSD!-He-2EKsIq|7(JJXpA z&dNs;)rHF14nBRr#;!=^Zz;Iyj@+$zR~6ZB8z=ptEJ}F0!;>=I&S`m*d`U~lg9aiS z$}=X(zf34dI+R7nvglg{En_WzXNPY^c#^*p#z{I)_FOxMMwIYP@&tpXK0-;)vvX*i zS~7OKXa{`U!BdHV#yc@V0N@=3Pn}L5hcdQn1R~o*n8Pn?jZ{3<&JoG)nqtuOI*QUg zA`tYkASGEo-WErQo-gDPcTUT4@wSW8+a}vd>`Rm6|BcA5@ZD$IIqLxdcL+En;PV2$ zE#Pqh$DL#7oh{%60xl8oasjUvutmW20^TOz-2y%!;0^(Y1bkk=w*@>d;J6Y|zJRy97krJ8J}Y&#_+KSj74B90%H*vi@;a}z7!Go(ZzP7o^pM$%;~IA=HuT{ zEl?_K<+jDj;;fYQeW<)X#Yu~QeW-|OT-3H?*AadlW<3p{QrW{-b?Ds{nob0hDfiFl>SON z30=siy_}@wer`Vf0uiav03$Jy{tGr+1%zx%eYt<^68dugD9e}nvi{d*>C63S@l>M( zxql^nigUJpD|i$Wxqp`XZ~hBN@MSqbd6IredL0n6ez(|nb<-~>k+x+8T^T^QnWHlGKx|7!b3*JTI^=G$imlp;MA9UotlWA$=Kt`G30XLcd1DQOYY4 z5%5+(v%dU4g!2Cr(pi!@WtV>kGR^usFSB#;o#G&67G;`}Y(L5RE)Wut=NBXYuhDKu zWVc_o{x*o2^^b}D=P{w59q(-YA7<&(&rEr87$93doBj#JsP9St<^Es(KgmO){_?y> z>Px@=8@guy<^N64>y7d^8(`!v^(EY$r7zEaI-Q2fp%G03k$MvD1>0P{&*kHSK9?ci zC3-v)15f!W#1VQkEh>D;HiufRcedvR}r6TG>1wm2&zjJ1?(>0PP(x-mmJKyd9 zeKYgToHXjo+JzD6*r65 zh@tbu3&efmh2m?)Eb}@sOM7kpN5B2BHM{kwuDoaEyHUQQ>P55G39oD5snd+C}RY>>WPEQnEfx@~T$a>X=XPv&xEVwy#g-L?%EN=Icq`^C(aHa;zO zx3X08rI3-~T*^A|8j3o`RPT46N>uHGHEW1T9z7XphV(-g$ znON?a-q>r*wmt(0U zHCX3Nbq)wa|G}^(FzD1`JPGr>jH|4VJ)Nn}o5JuWk;i#-^I>kT&c_N~Z87ZYOmz+k zv&>@HQ&)%iyE;dNS#B|BT8u;-cbGqfSz$3crqgv;qr<$e$#Din56ZVsDbul0HG%gC zpNKfLk9ikqkO&8ocWUIl?DBKvzfg?7+s5e^M2f8y$6aot{AksYZ3_i%6|WSpinEh< z36(z3Q+n)(y@8x;l}??9mH(ueF?i1)KMz0a!N=S<2aQrLvsMERiigGPEXJ)1Nk02P zzcgGdCCp9MrspZ~+sgk${OUequ9wYNdaG(OKqKvbEv}^V_m+aPD(YF+B%!&P^ZP#@g;SZt46!6 zbN3wTEU|TbDVJHTN%H;V+_>)(=a_qfy@TBMwO804*mTx-LSogZbZ8ix@9$3ElP$QPJ&i$}OSn|N0 zFNVM}hU-JW^pyCZu-8aR7=EH4xt|>t{9EFb_!k9NN`m1#j$~av_K@t9_)i7fCBeA& zf+HmUDfQn11-!d2*)YTO@2+66^voSo+~( zIWsBw+Jx?b5-viox<*&BwBj#10C?HwWxeF~r(9YxtP2`~FFd$3#+-{cyxw9L=Uv zlb%Dq&UmiBzOHM~q{NWZ86Wn4U%EYabMrCeI=`<|4`RK<`F^|K26NGwXRFQSu57b9 z=WLnP>H7++Gsb_Jv%i+P==2rhet~$OxCfaR^nco{8)9D6b@mrs`mWAk%N66MCYzi5 z`TSd(`hNcLvfcU)Jeq3q*Vkwl8`l3{ZTjWN?LD^B-ClDRA$O)ZzYuY-#F502#F4z; zNY;g9O-Oo+_{IosO-JRIG9B(Mm0_Od#vDDCYS$RNZMZ)o3Dl zWH|y=ZN8~%JHoN-?t=O}Y4(_0wp`wmDU?h(tkjBCYezL{#VEHcd1fZUav{o_YGFJy zJ1b_QVoDWLtC;bMsf0N*uKY1?-{KQf-^Rq$08z14Ekvbc>L%DxD-`p=&xwy7G>D)y1Lit@j0kzx$$da25HAg z6z%FC3$ywDa&ByvE{%qzu$*O8W}+NTWJeP{ZmX%(#xtcTlNdV+)m&67RZXE(4M)SW z>5Ds@QCcpnRJ;x9>+Lzl>h-P8w4G{SXXmum<+Nlwi&{V?%L<&oYZ}qjZGC?MmtZgV literal 0 HcmV?d00001 diff --git a/IEEE754Adder.xise b/IEEE754Adder.xise index 0391d9b..3875e8b 100644 --- a/IEEE754Adder.xise +++ b/IEEE754Adder.xise @@ -16,31 +16,48 @@ - + - + - + - + - + - + + + + + + + + + + + + + + + + + + @@ -109,7 +126,7 @@ - + @@ -206,7 +223,7 @@ - + @@ -328,7 +345,8 @@ - + + @@ -336,7 +354,7 @@ - + @@ -353,10 +371,10 @@ - - + + - + @@ -373,7 +391,7 @@ - + @@ -382,13 +400,15 @@ - - + + - + + + diff --git a/SpecialCasesCheck.ucf b/SpecialCasesCheck.ucf new file mode 100644 index 0000000..e69de29 diff --git a/SpecialCasesCheck.vhd b/SpecialCasesCheck.vhd index dfaf432..4c056fd 100644 --- a/SpecialCasesCheck.vhd +++ b/SpecialCasesCheck.vhd @@ -16,7 +16,7 @@ architecture SpecialCasesCheckArch of SpecialCasesCheck is isNaN: out std_logic ); end component; - + component ZeroCheck is port( X, Y: in std_logic_vector(31 downto 0); diff --git a/SpecialCasesCheck.xdl b/SpecialCasesCheck.xdl new file mode 100644 index 0000000000000000000000000000000000000000..db4e457e02cf2a7a1def6e5745d37bd4cd59aaa4 GIT binary patch literal 6582 zcmV;n8A;|?Y{XQc(D#eU1z-2V!#Em>isyd48U8RY%zfKd|q zC@Qg+c}LgMjWb(p%87C@as6)gY`zpsD%x^qkq+{u;3n=?lyLmp-#CaeW8U`FoiJ7l zqQiZW=hecElKu26QJ^)WHz$`*-ajIDt`DwL((rivI|7cNXPPik*8^v0drHzc00;;C zk_WqjpD@_|IJrnycG<7dAw+N9Qz1_S;{`9wtE{9%_Wi%9fCfRnMcAvR#f~Bbuu~ge zcXp39Lv~ubb88W-dgf^Sb3bUS{iz2~PoxxSByyuLVNIWia6eT`AmkVod;1&KxW+!7 zpt$gi!nPs3kd(&~AhZ%^7s2&r@2Pa4Y9Z7r`YCldT)%nKJHHkraUTmD%e-i{O{r-sfRN=C_DJCNSZ28d_-`@L_{ReMg zsA*aGGf5gk#hy$9r()(Xs;11j0CWSXIY*{^`*q!^4QkObktKg?P#)$3#>-u7oDq;% zz(5pAWU7s8>w^(EAd&EiGz4nT_EaGoV*UGc*sqVMh@R$Yh#37Sne4}(9^x%^O&984 zMXvUNUwQ1Q(NRB9{(swR(Bq;&NdXVZa5Be##yyDBHG@W`0w_0xSGWiVVlUCa* zAY_5ErUF>sn$>@N9#%u=AjZ;hm@nLyA$Ld=A2rTH3-;+E*xPM;xJxm&e z+fDMT``hOlV1A?lY`nmA4_y&Ehhj?=A-m0~VFt>tLMMpGx2oFq`Bx#b6CuPw>F=aG zsHc1KJ*mfU1XQn)+WV9C*b2=IKcsZRbTY&2(?4Rjp1M;XW_&e`hLV*8Vet$R^}ShU zCL&v!#0%Id;G!MO^~TzctK8GNC(9)IY4~kzeJ2PB?cot zYx_vD@tF8sTNw#AG?ztYW0Td*Y}7FU=**oXH(pjVHaplvgfFFj=1*Sz4n2?BXv?YD z`vfF9p<>*DiyXw#j3z; z=CRB7SAc*Kef_E&A$t86+}pt+#SKnjGhqxy z%%6^~&JUGYV@>r#j$zXWxaD=O`tlF!K{x~rvfP8@%P&S0Yz8hA1_E;qjuu=k+Dd4&N&(cyYGK;lbqJ zq^1Nd3r2g;_eit=KungWh)MifZ=oSdv!$}~f(e;>>V z3<$SUtEx5E+JJelrv-c;YuKe%7g3Cq4xu|eY`B`W+z+aGML<(5qdM>Faa35Y{?eSl zfB~ie3m-Av^gOY&0`$41c&W!L1_x4H0wKI_T=!cxM}7+L9VJj=Yx5tP&ckISvVZ#% z!GdNC?C_DOW@Wp6%?J!{w()=~(odzb8m4wpYBe0~ZQ2v0E)Y*x~OD zcf#H5ke5%+Q%|OE%ZU@@oNY!y&)BC(aBj15VL)3Qpy{dE)16R|8yHUZq6)&2tb*FL zG8CwlDIwyfW}@j8V3+KNy%YzmE4Elg7WGDmtuoC_zRT)F8sJxG_ysl@+zUQ(RQ=V4 zIw$7|*VTaDq)8$#i>W$2LzKD21XB9La8q7Otk~4#oXUDJsu{>6vt+ajPj)U@P`Je| z4F{iIFuYPfDU%OQ7rQs2eoTq=Hnd!Mcr@;I&ez{PlEs)w2BI!h^#||omf|q-M%qe& z+NvvGSoULDXA=q&vYwTj`3TGe%N7oK>j&-G*)dk`?BMzNbMXY3YJSV z(#o<*-PF}lil+AgENqAjmPW88XmQ%h+|BaZ)_l1XQxQwE5~iU7Gu1yWp9!iM8>kag zEL7?l+e+>|omTe5Iti6GpibTHI>yiL>3eXo@SEQgAEfD@_G;M{*$;8}_dbkG7jdH4 zboe~%nB8Bbp%ge=EM_!kt-6|w=Ta}9+vL*k2k10x^C=>{ z?-UEwZEn+6ko6vR9E$aXWD@6FRcjWGqsJv|t`)cfkxAxlt%oq$84I@Q4 z4e`I!iW$;T&Z^3o{WmxYjBqQPW`^rs#ncy2>$5D{T5~X|h<>*xZHL|j9JuOiJf5PODDJ zZx;sN#ka6>I)sL&&~Q zTwwj3wO;D-PXg`ETep#O+0P_BEL;v8ZYb28w+21EDZTGLwSMV=#uB^GO)655xPs^9 z_~l?N8O9<0SBUz$LC)FkE+e2(cx)wV%OTTiFUvt1+e%fL?rs1j`P$?Dvqy;HxSQnm z&6Sud!a=-mp@)U`^K+A6hd~HGmDS`Z5W}NEHdrMq47JPRw*%tB;j?o)ZM_X~m%D;^o>MhiPI*n>XFb zzs6Oorgy+QC(!PAKYjWHjPyQa=Yg8A%ABkwbUZTLYX}nWT*i`$Jw)q2g!nITn&fsx zX`mN}_@yGqgJDUS4f(rwmP{;Sp1F+Q7HXjmXvuN9~U?(mPA_e z4m_PN1d?oe_|Y@I`LJ+EOByoT>A!Gv+)~O<7dcH?FfW;`XZ3_R~)1yfE#`F<(Cv5g>_PcX(_%X(t!9~R0FLaK4(=KzW?D8q1`_0AYog~Cwm~jRH zpzp;&lKPf{c{LE|bU_qcN>wG0pFt#4B&yxQ`ch{DHB~$Wzno&R%_bz+zu?b1qbY2tp6ULtrI2p9s4sl85e9FQ3>W0QQWL zxen!GgDC-ZHG?^v4ITOva;k<%Ygo^2<0jQn_poTkl9>P{BZ{e{)|z-9`8C4(Z1byj>j;v*G0uZKV(@l6+$WA62m!dd7mBbt2EnN(FH|c0^!b7e{ z&7Tf>N{5n0jk4gfLAtNdmjr|Sy(}n{t1Ikv>~*4`;oNxY>R`sTCAIh|cq}(#>=`-V z!G}=U3$8&5VL;e?(|_5DU|`*6+dD;=*K3YEjPM0P%2A8ANz8WY^$RVEo z$c@>?t?MuSSZC$bi?i%ZRL1oBNAVO;z!?v|Nwy3^`==7kh3d}{n!X@K3cO-%N|NE@ zdYk%f)UjF-j+ZzJ#(cBK`!R*6b_b&B30^Uq&+FkNA%n2 zBB;UY2{j}w1SxC#TLD?XZlScRN3Vcb6P#qCNnQO@okpc>9<-$@#tJ)33ze(v+Y8=PB=CoguR!J?7$SXY__RS+6*o#)QN!H4zVtIra0s@J(#JmgA>m=-P z@h1*+^*1)>B`4!k=rXC;9*!w@L|#l*lAo*&JD&PI4t^hR?Rq3wk5W^3{&Ey-wE$ej zh;|VD1uvfz`fGDOHtn46ZrP~C`GA8zEG+kBR_b;r!24V9Hm%-)lckM>NLUsXp>{n$ zTmX`?%yLYoOoWQu7|AIFc(w z#OZ|A`y4cZQI$lQkmF2`{oCCl8qM#SV&gW0Rd(^x>ygG5ZZv!w9pr0D^LieZLw|P7 zW%J3HCteDv9Wa`h7n#-JBO{N8CAxwkxIMjcYYtxif`q|c8=%@p%Br13$I^*ac^+$vE zXoMAQyp`xhSSOgK4G~O-5M&GupeSThf1tE5%-+^v*~iiW5|Pzm}RwE zP+(E>RUO(;*-`8gilkv%H0O(iL9cvj5<}r{@^`AGhAR$2M`w#Q!;!uC^VQlZ-7f2) zpf_5F4e&dlSQZXL<-&}uWYb?5Oj8HB{`zst%e8dIH*`3Zq9w{_33x-23JjE3olcLZ zea)Fz6#tKl@E!tgYBPzyqjpy)qC^NAI?cEv#DtCn%t};;*#Fra&sUEi7DZ7I?FsO- zyw!$Rl=cffpJ6`lm-p5mtuU+HR?EXs@oY1Y6Tvy!fJ3tMB8mNd0gLxN*x4Qi z)~@1OaRMF1$OLYH%Ig;JhUK%!{KfG3jf6>?v|B#uf`Lr?y);&hsb`+ZT*Fc)FP85+ z8{hsOLc(&uIZZ3M@Zx;OG5vTB%8%?XEGN7fEN@|bzLlBfa}`;kGf5is2wjYYRRtyDHulAff_EI>WZ+>x z0n;Z9h+?*|DKFY7F(1RvJ4vl*QvLVXFTi>Mk&@^3DflPqP0_18vB6C*U`)%eZ@nbW z_CxuQ)(+#7z<>*-@=HFXV%%NZJU#O;P9-1@7zgEgo4JbSTo4H@{`5O*D&x2tVP`ZV z^9fs5w5}BIv$LyKo#Ir+PjUs}=Sypw-RjuCX&ah$VaZVWi@W2zyx9B#Gkx2TGp=4# z^Rd}*aBRA&@DNt=m(Pg8XLbyLdn^!f_defXjYI;dX;XbzP zRmD-()#W!hFF#))E}z@OE6iF@X?9*b^42%QFo#-**6=#O+fJ3M=jLHaTC> z-^pcrKPr`n_3mMHudNhg{ieNtP~*|SuQ9n(>$B8w=d!7brs_KKVqtBPO48*;7HF;4~t)_(iPm% zpdkedWj^sm$EB)aw&(Fc#35f>vq$TN*Lx*g8GA?s`=}hvaQ3W}i8^K!jhQR_%*WtQ z)!|$Y^#cWASF>YAs;2Vv@!hr}eM}d_N5E!umRIt&zuMwup%#*6sNk_oqo7Go*j&IN z$XW2)TwGij&q#l|%`&n`!0JFzVw)DRng$pg;VjWpaqI2Y}x87Kovl^j};ewddl&R9h4o| zxBHC-x~1mS2w*@J^52X&g{fZ6o>_O#E7wXM!jwOigv4Dd+8O+wzG5@}GAlAtato zjjY{u%Xi#?k&8dx1CNfjXklT^4QvBlOmbbDI4;-poxj87Y0}W8daGa(?>K#}VU3r$ zfQMB!1TCu+@ymS%KqY^rTVOcmAC{()s~ewwMn|Nlk_iQ&agDRTjB06|7P#NGaK@>3 zp47?H3hglKD^0?=+GKvCO;(>~d{B0ThN@Z`?_&ErH zErIMsK_7sfda1~(9j#B30%NLNDd*AV>Z)n^?6FOG>ob>@&o!TftJKyld3V@O&-#i}uECIFCaLbRkZE znteP!u!Y%RMo$CU&l<3<9ID;?rz_bHSvWze7Vfs8W$K!Fw{r>eL=?($5^#|BG$T$(y@xy%m+j%jUFO@G-LqgZXyVecN0 z0247$KJn8* zr&rRQhNKI$WHCbSpV^pb7>DGd1$9CRZjL5@!(lf|ZWpW`+n!`$XfjmpMf`G3fC z%JgcpeF`NWeG?T57K|lOrm7D%xJ&iGr=m?=v^}C21o~}4Ip$Ha8}^PLtiLW)tgD%5 z8mEo~*#~6rgfLgzFHF`0*Ag`lJ$UU{g6YcGE8)BF0}<4iT{F;nbR8x9E6;=(2w3QI zsED>qxEhdVjeX%C;Vp)zNq?L-%U(|5dyrEqi#W z22lHi&p`M6WHuB_;FXtQSj)2djS*)c$B(O2)z}ghCW}2lBHw`U$(zk2ZR8MS8?atQ zjz2hQ*lUzOl39sNirMd28GlZ@qIZyEb&wD=k9%Gel>Uwz-`DD@ugGTn#*B zQMv?;B+@NomqS%#xj7>7=}10GOWcwArAAR02V|DteU?7q?324M|9fhxY(YhSJ{KKU z1z10S{96np?^Oy#{Vzs8Nw%m4hOw{A{}=meQBn+C?~w(5o7w)Z%QVJZBhW;a_}#nr oS*&U~uy!^Maqr-p!NX?WkGKB-6HaDu3n^>mWv*ub0il|jDsiB`bN~PV literal 0 HcmV?d00001 diff --git a/SpecialCasesCheck_isim_beh.exe b/SpecialCasesCheck_isim_beh.exe new file mode 100755 index 0000000000000000000000000000000000000000..3209988d8d84424c4d5c423f8f5aaa98e3cf50c8 GIT binary patch literal 21792 zcmeHPe|%KcmB0A~DTPcx(C|Zf!k{2#NHC#EOmHSMkvDe02*F|(of#&RWHgzH^8mpFAK}b^6wo27n6~*6g1Qe^Kh}PNfx$oZOG2(VV zOaC)BpZCs>d(OG%p8Mn7d*7Sf(%`u~Hz$Y5l*^VfqLdOlXBl_Kd@DF9cvY;B+1X4s zgN*~H0GFNT5Hv6e2ZU(}3x!-BU_Rlwf{|-C5CLZy6q8VTNRY}KO?H7A@L54hh??+x z(j#AAuyYd7$B_~ERfDfiktHddLGF=Wp@WlvK8{FuNQg@)>qm8?YnG_rtXw-NqU{8j z!tbMy#{#^@x8lu&Z@SD7*mRklBNEmcY$l=9y9#>b=l?ZHKNq!!)-9=6)ZSd$9ttPA zO1r9-lrE{T#Ui%F-2Y-2q$)03v6`Ef@%V};XdYlauJdrsz%>#d!GJpkwo(%XkT(bmyAz&4*xwveC_eEd<3RT!S%;)l* zBC-$_n~d6w7yXawNGT6|iiJ$y7sx*Vn)%dLl%B+8=Fb%4td856$Qm!Qlh{bSO5x8G z^bR@y@J!s6bAL*h-ss~j*#^{)6wW#IM*ZP*{C@-A?9Ue1r4+zr<}1WR`UsbqKT{02 zJG1y7kTB9R*qg#8G3!D*r{(Ql@F%0iPk4NsCH;RDl-a&jbe!*H@n!sJdNRxZK=^-1 z*gquf3pTq5_NX0STWaU!itsa4@CUN^YXv`7w7VQ5k7oHp^`Z24Lf$UwE9avvoL|C@ zWwqA<=$QTggj-?kMJ|61+a~*=2++PP`LvL~5dEb1H2t;$e5$Wq_%G*<3Gmlk?$?E# zdMlWq8xNYTpjL>U*TvGDy17)^TC+s{c%6&2`o^NN#KOzi$BJ3-|K09d`YD563 zpD4?HoG}17O80_Ka{om4n zSk|~ZBKUe%yHI~O`yUYfp=SK);*NDebGxw)@OoQ2B4KYV?vKX3Ugo`Gy?14>H57{n zqt14JEEbHBbZ5LR8uT}lN*U3ycynOkLL!$iSm^5Vb_S!dNZ8*Vif{C;FJsrBLBL^LNl3B)5e zu$I=K!E1+HN3bInjI$QV1z1a*Y^3hN@ z-U8pdQ1dt-xg?^{;Sa$9L#->+?p>8w7u$${bgb}q1f^&omhiTO!XeajMdjDL%RE=s zJ3LMYVx--9-K%^e1kt4 zyc+EviiE#N!{gr=j2iKCUx_$3!%89+Y(B#pmoKK?^4E%Ac{yB)UfCH(MPdk}x7i=} z8=ZpJ)fwsB7!9?yp}(A2qnDwarcg&Pl8B#aYgL9L?UB|mY^haQ&2xs1S8s?!Z*Ya8 z!2r6$#`;7{OE7w-HK<-63T~h#w~}5;)`8 zrF?Nb(7w(e542IkASS_ZGap=HPB}9l%PZRavDK#x;xikmi}9F<#W#-3&q10Jz9{lW z6}+v{U?+2~S>vd8d&_N?uw@>1JxK2*wh9CHF19VU(MFo(;4hc|5oRnGa#`L8$DBu! zhP)Xwa3e=rGi;4Yjp|AL9PG6XuHi*4-(S-nnD(B({pk%@q2;q?zHVVGzTi$Qt@2m| zG$pcfD{w$6ew-Tq1Bg8+SW5!K!`Zz-TIUF-?^PN795dQ*>8MiX0k0Zpt zkYmQJ%IV_>@fUE+xY?aPju2m-jSxe1`Uvnd1M?of(di>L=P%}%aa-f`afIyfZo?^@ z+c|w4BR-v($kgWaag_Kq95Zg4oj#6GGl{JSr~92g4v8<<;>5Ve=_A01j}9@CwmE$q zC%)Ws65~fs9|0~e&wz;D?(`Ahd^%T=X{Xc2QL@o8Mjq?q`ZNG9NX6Tia5FEjD!EJ>y+ z6aP}l1hkv@bXF&mYT}C{OrE9o94XXa%3(~e$;B;!v8wj$E8Lr@{KLfx%gp--cgt)$ zFrwQ?Cw(Y`H1A!ap~%utbNY3nVS}XyIQ=5g)K$~lIema=>Z0jwoPL66>YC|(PCrgG zb^CNTr*{)Qp6E_a|BPtbCZ>IyevoLIiqego{yxzYh_-Y3UZSasr^`5fC(+ck(+a1* zP4pz9i#h!*qNyvV8K-X|ns#I9W1oRBFG@5`ap^;xzMg36;_0V3y^d(=+UWsKf0JnH z(&_D-UP&}fh3RdazJh2vI!yO-x}In{u1t4xx`ya;i0$r|vp; zg~HfsReLL5eAo(|fkIU)cxxd#!2u}03`9*9t9=I&Zw?il3)!6gds|vs`i-l9wc1m+ zY(6ZIfwOnQO|h#h7t_l*AvS?sxbh>Yt8pIJAu8YR76vlUsXgwf5X9Dxv3O zj;d8yEnDY8(vz$lf;CU7^bIuI&$;dd$I!T(%--sQH?L3wdv{TH^JwocPv-CY)T0f3 z_(1&CPt{c2ZpiPVuF83d-*AQfP{{0cCu{fV8N=6ZSg$?^Z*Tdpenaq9bq?=dHF@q@s!yu23>`&HUaHR{&AtJ*w$IX=q?o1ZA}CDN_Uxvv_$WE4A0nYt zT@V=_?X}(1=Z%bEWHj_;Zl0$mXIaaSs>!opT7_8ofJb{LGpP3LEz}=}kfZwj#A_by z?Z;?*=!ZUqJ$MG0QRmXvLk=Oj4~>{!iVm+PFF~RE(BsPo^gn-+$?$0FtDxN9((m}j z?vscXMZBT>sH181D)rVQYtCmZS@1DBy|&3}Ra1{xFGq(}wYasU{J1A|zts+FTCdd( zuI1r^XCc@E(gjlgIZ9AdMxLq-tD4JNs9#Q=<^dbVxkGqK%dkDa0&&}ftc5bmy=ze- zydmoE>~!x8HZKZc#U7`kmlwkGFN!wdz|%hWZ%2$7$OT zh^UXkFR#BdWK*>&#PtEpEUMPYeTqfMC;i8ygz?#h?Awto1LpVz?*-qcY;9P-6A&$@ zYD4-r3{;;D=uYM5tGgEAw4*f`Uc?0ohwX(Ze$kM9BGW%PX~-bQ?5_R>_$`j%{QMf~ zd^1q4u85N66HHZ3;;s(s)kO9UsM=mjuLhSI%8wtO+t7Q|(mPBsOjW*#E?b__C!6H_ zkW;l+P^kVO3L+RaJ3`^1R$avOZ<_g|t*zIC-_Uzp_?X!0No}&)xy_7zH}ceE+=}MS z=x!oXl|Fbu8S)VzK(Q^&SYwznf@&b-p`l=yiwqC`pS6Io~ z5we!5%oD~t4C9vG0n<6hJaO(HKo+s&KqF-Vh<4S;B;@#^l;c7k@Xxt&%C z8OWc~PM2~UpHUdb*TD2U`ZeIB`!H{!-NGnNZvz7jWa+&Ka~1jr2~`j;Rks59+!ss& zdKvMO9&5>?i>Tp}(^c(N{gV?y`4UXPYN{#u&H0Pf%;ReH6NwM{4rotXde0}-dl1v1{Bcaz$YN>}`>LM#6EN*P8q@R9g@+jp zQqk<+At{XL`T8}4VX#Bwy5ixRa;Bs-~rZ5N`BF(N0)qccVOT8*|jm0J!mwv^}l`A9tUe8{ee{_CP$}-hFaH{3jT{n7R+U`BN1m zEIu95mfrQKM#_<+-qXD)-#)?8dktuJU@)`Ckr~{4SN_uqW_><@OvWs|KS7z@C+8#{ zZs@~EdIEJ!g>q;bCi!waE1x@j9v(=6=ejpdC95|=!bKvPJ;a$4pKaOUnrg3|vpK&y z@qA`b<@wcIs20h`wx-|x1YWab{<{J(2f{LKl>wZK}+AmctUkw zYP0l4v8u%&x{50to2$%`C4pXOM$cIHrTuj>VyKerCg z;Qb3_E&ywYWMbX*8(MdzDTkIUV(8ohRHzry z6i4cnw?W9A+%!o2;XD+9=d1qf4|yA4uCes~5>uF-`Y4k*+y|3eU{3cU+gQ!?0i$X1 zARyZPGFlC!pW^d=<-g9xN@#^KzdBHeK7T}Q1u&YH%Jd2tPQMHx^7m@;m$op*I?*P4 zy&fz_@*KG9PI|bXD_~j;`~j1vHu0E4J16~)urT8k3zoj8XtFQ@Rz$ssOf+#5Z=6R} zEl&SCZ_r5>!(w+ev-GXyGxnsXc(%t{Ol}tIfBpkG++;0o8O|@BL!tT(31EgSLB3T@ z(gxuLu#(04f1*l=>sy$6P^Uux##8KLZlhowq`IpZhCF;jAG#OxV_m3pG8YqZQOdRjp7>72L=94Tbt6B&RjN-Gb>bxEo7CDkXsx z?X<5$$nj9Vs;w^Bt&nQ6pb|1_PZf)o!T948-_%-bj-zK^uBv&aL83|xyrj2sqppLP zzxJuAsoWzd>*p$rbbU9kLI&2nkAcxpj%R=SAPl)M!J`6e5$4pi9xG3j0?(*vPrZ?UVo`j#h8EvuGtrK7c9&xi{MU>niR2IikJv+s_p;{&S4jA zZh>g;=|#sdN^Zg9lSWAsaz}SlmcCzMCLkM`>Oo8D6)YaZ%OzQ&oHB!v`#VSMbhR@V%J|94JZOP6m?G zj)P3r^bF^zfsDH6ojg7GKKQ9gQ^{cs>i~35qhn6s9A}|Emx@eIdxRTu7Vo5v*;A8q zbG?IqGVluwSai2ZF67fvA(;as1x!CehBeo@)SRju6etc=#4Ls&!9t){Hu zd>RmN;1&G`?;%iE)8jo=_fv57hrlqVsuzKQ`zmq&d4#*q;2wm#`sNYte*m-WaOTvm zwcPc1?IO!v1BH)cwLt4W?NvN2^rKv@>odKX5N)tQ`-pND zXe!hlJAHjBul}S;Vj2tSI}Ne9#L3w^oM*#Z;vr`~vUA5(3bgE) z(7WH#uxE2Fiam>)=lkDceLc5D6L>Ah!~~A#(hf3{syl|UqxT{G z4cLc!<-*WdA9}QA>miTUZq+@hd4aPPR6K4y=E1)Ggj+kVYHO`etH^x;rzll8S#fL6 z>CYS{;{{6~>|U_Xz30=s<$Z6)&%?Qcwio9`gE=1UU5|F$rDZZ_(aD3m`ba`olWVPb zwzOM|J;`Qki3gbCp@q2zW33FQ5LL#Z5FI@6BO8R^&@_au=R_txt^6gNRV91qV^Fo{ zFb<1OWD-aDp$eTP(Fu?4q`=@XV=o%dl;{mgDSyApO7SL(|U0qEWCyVWrcL7w+L!$|Y}D zX=@_HO6AKnh8K>8g;CW~dVk1D`S%sI=ur56p*^@%3B^q2OTlN<+gPc5^M#F8kahZ5 zS4(?j1B*c?9A~A?p_qSNdoXUi#l~lujaRO0^17~B;aKi=dR^{Sj(SgncloMie4hrl zSSh~m-~@Nn^roFNZ4_XUM4VTLsRSh+QSc3iLf>g5VoIb%i6uHaBT)ndt|)Wn#pWpC zV6ZvZOp?(=Siz=`zE{9Yd^8IsVGplO+nbHLhGcxr>J)3}Q?r?ED2?qwe=Mj3!?8pZ z?zH*g%t#Rm!bgRoMdEEiC5F#9lxF#YT-neTE09E|$oV6WRKMWPD6tbi)w3_fpne;^Qy#X<;-s2tUg`b3SgoZhOE^Yj@E!8yFR z(GE~c5%Y%O;!+rHO?03ox&Of%6L1+0wcxWKWuy}+u?-=ZWVIUtEv>w_Z2E$3!^1q< zWq5J>oHKmxLPPa2%{`GR)eC0n>ziQc*oi#Iu==4v@R5;Q-!fK7J;z{j74B9 z0%H*vi@=vB0`kSi*fQYD)8=C}9gDzN1jZsT7J;z{$Otsr7MGP@QjUw6(9puhh2_=N zmE~p3gp!W&{dJ9rOP|Oy9LyQNUom0gmn^cR)5DS9dnoe*3lu7|lpIplgz#xe*TsrE z9Iz>l_I8E;Cr0u;KEP++ z&19zH5cW;LiveeWM=9r~#+59mt9aZ$zA{l^GbfHO>_@KgEwq&o*r_1sDxza&{*81k z;C%4S-`|o<6D|e(4zf^RRD4HnLs3b6ky2kYe@j6se`}trsO+|J&Y~(;k-diwzmZ6f z{9N;5Cc}SI2EAomixkMhd`D54qo`_GQNOci{B7g5;9wkSD!-He-2EKsIq|7(JJXpA z&dNs;)rHF14nBRr#;!=^Zz;Iyj@+$zR~6ZB8z=ptEJ}F0!;>=I&S`m*d`U~lg9aiS z$}=X(zf34dI+R7nvglg{En_WzXNPY^c#^*p#z{I)_FOxMMwIYP@&tpXK0-;)vvX*i zS~7OKXa{`U!BdHV#yc@V0N@=3Pn}L5hcdQn1R~o*n8Pn?jZ{3<&JoG)nqtuOI*QUg zA`tYkASGEo-WErQo-gDPcTUT4@wSW8+a}vd>`Rm6|BcA5@ZD$IIqLxdcL+En;PV2$ zE#Pqh$DL#7oh{%60xl8oasjUvutmW20^TOz-2y%!;0^(Y1bkk=w*@>d;J6Y|zJRy97krJ8J}Y&#_+KSj74B90%H*vi@;a}z7!Go(ZzP7o^pM$%;~IA=HuT{ zEl?_K<+jDj;;fYQeW<)X#Yu~QeW-|OT-3H?*AadlW<3p{QrW{-b?Ds{nob0hDfiFl>SON z30=siy_}@wer`Vf0uiav03$Jy{tGr+1%zx%eYt<^68dugD9e}nvi{d*>C63S@l>M( zxql^nigUJpD|i$Wxqp`XZ~hBN@MSqbd6IredL0n6ez(|nb<-~>k+x+8T^T^QnWHlGKx|7!b3*JTI^=G$imlp;MA9UotlWA$=Kt`G30XLcd1DQOYY4 z5%5+(v%dU4g!2Cr(pi!@WtV>kGR^usFSB#;o#G&67G;`}Y(L5RE)Wut=NBXYuhDKu zWVc_o{x*o2^^b}D=P{w59q(-YA7<&(&rEr87$93doBj#JsP9St<^Es(KgmO){_?y> z>Px@=8@guy<^N64>y7d^8(`!v^(EY$r7zEaI-Q2fp%G03k$MvD1>0P{&*kHSK9?ci zCU&vK;JiwX;#W>r#wkOA z8G?U)mO_w+ByhDe{WqMYF+94#1|Eg2N~h&{@;OypZ8@=3Uw3dSR$%ua2if8#{^#%1~uqqv`d z5@f%9ko5&{Gv1C=QP{hb6L^>USwO<`M0pa*e3u~~@tN);`J7Z&JIC#uR9AI=U2T2K z{PX8~-RHZVMa>OGcE*1`3Zy1Zzw~lewCs;jh*8}q;vbDArMHGE8m6CicW&p35oc8W z>FzteQD(c9(r652|7)F98KbgiOe9bGvc>Cr#mv2bef@AjVZ;2S%y`~JNP zcYbH~xT=nw&pi@-KX3QT@8xBjwe~5HrhNQJA)d_7)j%Y}H>SWZPJ#bX3jF#M_-()! z;Gg+<1&Cz)>`#Foj*d%4e_jfFG4KWEN)f*@1$`t1{?-)u`%>UHr@+6O0)HO_DOtPT zOF^HVg5Ht>e{KqVX$pKt3jDPx@c)qlzm?%fD0?k_HVU7MvDgHBzVd$WntRFxhJ%L$ zzQ%;l$HW<_j8#?(yqvE;7v-0U@;gLn>r<37OqA0u%Axs8&l9Ylhb!xH{VXnjzJb6f zB~Mwo#4k|{9zglT&mt2)%A|(I$fVh|&>r(A*_=h5NhPz2)!-}wlZr^Y;9osynA$lt z&9(Ew_0_fYVdxh{om*R9tITh%RU-=;!)kLlqE?0HR&OuK}1yMN>poIYqd-wmA}RQkz@mpvvl|ig{{y zez>wF5^hc;sH&~1;G8tcJhkC4+7zi$>l&(SD^;7#?Tj-M0h7(OXpQ7Yz4!)orpP(1 zuA;fwT*18BdbP1BT&cFyizbVX0kN^W;?+kQ8aa_m33F?kK*%aq=Te@=hNg&u zPKpcj>nG@C}MvZbks8i29o1Jx8}CDL%Fs4}s{rf_pZT?=)+ zI=7|1l8pgnj<=w;er^NHlBBq*a9yN=B~k+*Hq;vmB&?DQms`OwS2ixdm=a2i>AZ?s zjB*$QRe^*#5Fa+yK-E_%Y_u9xyUS;{+N^G$kH%c}_kAy`tHRvN}9Y zhr?x8W|aliS!IDsgMpbr!3#JpDK0KnXBbLSrk9pXou=B0>_x7G8I?MMsTO~DI0N?6 z{2wPFtj^{>kGG7iJ&v5JGsy7bnQ&)0gX9~K&6SVUfFCB2fL;TByaDew;LkANLk9fm z27HacQ`t~8@u$&%H@0)W0WVl*c@`P)($}GcWd^*_A8a?^jsDO|177M5n z?=#@ZcazV41O6n5BzRXji_tiq%tHJp$ACY@fX_GJM;q{?4frtze1QQ!)_|X2z@KWs zhg$dM#QqG4iZwxeVyjvdCDghlXLGOX)|(5I-to8L*K)caA&S>f&YoSpc*ZZGIEi9U zH;dm$aT39vP8M&XIEh}*Iu^f<;v{lCt66*w#Yxn9+FAT6ij#=-EMoDQ6dy+MMi#%A z;v{N44vRpbc>nnVwD-|A68oDn091d^g2OM0!@U z_?r|b(dcPs@z*F$BGI#m#b2WMDHLyH@#iQ`BG6NgI3Rm$e=X6rUmMci47I+sZ$?>3 zS7+y9zY^-&)XhK_`8Ba`g8vWO+i9#r`Vehtff8!#jAZt9v!QM`K9`5Iw`URIq6=2v zTA(N`|MpnTigE?n?nN}TcuzUSHhq#6YTc6&^6hLcoNGBfs6c2sGeX*s77+EG_zWY` zhg4G*-_E8tw+@+wUYHw`OE_`O9dkgxWr8xgeyK72e-^ zV{S&oR~ns@Q>>3G)UV6dGXvURi!E#S1hrQ!Yqte_FWmIake+4PK=aXi^}h$M3H*ED z+RY&?Qh48<$MsD8;%t3pj&IxdN3|a4jbv(@CT_B<-EP^Cee#|cTMuNk?Ax=qSbGfx zzFZv5%>w-2kUl)1bq3GPL?pZLBFhHn(4b{Q#`I`*C;kmB);de||7l16O-Ct1gUd2} zG0V~g=-yz)&f<*iLG9V##O*;}&-XU;R02yaj`^20W-psDB&6SxgQ;xU@RHxM;iIS% z|J${XP>gkRdSoH}KN~Ukkt5TbV^Mkqryv`k;Qi?poPx|)uzy*ka4-6Rnm`@E8a|6c zq3DobKu`1*?z?(36U#jxZzMt3vrp^ZvsX~8X=4-{NqKJGNGfx)EHP#*QIJneOH6x46b(Who>!NP8RY{0U%3!xoBZNFvhW*2cl#JvAt zx~61Nff5^s@FSR8(4=2ezFza<;KzlxpS}{L10RW=0??2P;Bu> zC{1UzmSD040~pG(Q0o)a7{$^?6RK2ua0=C_cMu=?D6QjDz)*D6iGHw<%EFk$lUek8H(jC^p;BUBAC0lU4NR11TeR0owRg!% zWS7DTnsz4;(6o;wXj)>2G%Z_^M5A2OMpqJcjJE1|K*6Sdn%+iH%i5>4w#O0By2)8$ z!JD|A?VvX3W1(jY!Gck8-(oDW{?JE4gjxB+Q8KH3C@|FTY3kYa)DZL-)3bI`-EG9Z zWo@U{md*7n#igF*E$b*i3M?6E_>dm$WI!X=5o3}=Y5IB^;GljM<~!}6=^OogiPG-GoS^6vr$XZ3* zK`}GFNikD^cd8{?hH%f1L10#}M?hrPbCG2d*)^1$d+l0?>{f^@8zhcH*HF7*^C7Zr zG~C+gK9M~nbxY;Ns@|mm=%8>3)|GMRU?&h}=U^3+nNu~^b)o2bF0$)af>a->F&Py_ zG3S6fmEX0|Kwn3gB0&#MA=KXD1?jw1=1!QJ<{ugj>f4qkY3we?WN|%Jy zEWt<2y?qc&7$tb#USjGi=H4#bP12Xrz1<%9vK@w_Mp_R^-?GKnhq0qTT6@8SQCgqs z`3g$wYkwI~S{+SawzM7rU*XGjZ@up@+2y{1VIx8K3SWeKTMQPAk~;)KAY8(u%DttH z)Pr+xhri8D|1tOWaJsi`eOFkuwh8E?hs(NX2za-lsK_x9UwLTJ->Z_g(3 zWA5!i?cQ$Q#f0U{b#Gq;6GjPM{wHGUE9Tz*{Eq`V3};;TWjhT20`BceZyW{ES^y@D z(z=T=^%axWPhKBTT9;OT+0yzi=iXlV`?y(7*hu&S-P^ff!6>jUC04z<0DdV-1|C~np;f&Axg)|~w^6`Jy{!ovdyBo`f=rE!5| zW$2>~2fftOOe`n2QBa(ju~Srb&^sA*8%6UI#!H`*%S7|AT&SVLqckqm=L(Kz8;!U^qyhGh&ly!E*bEI$33?ji_ zv`Rhn6O%rWkF1(&sTA=K|C?ICK`zDu_9h>XNj z!y6-;;O|Ds)_2ie3;4T6-+*jSGT&eg@VIrW5BbSu1<2whw-r~X5AFVK#{b4OT!~iN zcg2Q!g7_gAvIN=fRdIWaqvM3`A>7F{7DYpIX1 ztnJp=l~uYO_Vi8Hu^YHiY6>ot{V{et*f2`>bBwJ%>1KtI!@w$`8@M!LY5B5;%W)m{ zoLQ8anx*@-Uk^o3+Etby%HvXc2f}5#wdWty0(b|^ywII7=555i1BKtOO_7?hrz2Hf z%Z4Z$l-$godT?QOgTKlW|C>wyd5O1dJ1Qi8G}tgo{7%N!Q6=#wbqy%-m(Ki(Nc_Lt zW^m~r%67(?v*~K@71)8pfJB_u`G^*bP)mGv?$dd z+=)<0>od6ly%=vtGam{Cb`mjv@gg8!yl9W(uv1C|+C{mg(I@sH6zCd8Zh1gcvrD4W z>D4)MLpcW}(JSZ;xEYiIzBq5$q3j=*Y6oHqpX%+s1nmAfO^sAFlU!w-cyEgmlit;GEIr`*O{KRU&P|p>h(4*8e z%i3r8{<+Rt8qLna8-SFc@g+=DzR6HS6-4ntlhLe#{$(cTUJs z{SkUo8qM2?7=IarB#*xgLPZ+i#QVb&s5bGX4{9l`6wbo?===>#*zZnOLIEmnXCd6t zj}k-rclz$=ub{FpQ`gg&oQq9-wyxrf1XCTLSt`P zdJ2BCRxz1iuSR6BUgFEWa~WoG8%(O187UD2@aBM4(;Ue^>a{d;oC}65AfCh)- z#F$}LW7PKn4Hl?F%!*`99EUz80N$J?IzaND9dYY5k z`h}E9EXzk*)~=^CjmeSTMq!%uTP@MGDB%))61b$MvhDkY;M6$Tr#wz2@wN8}a>}!J z;@Ghg4SHHjakQQq3k$%ed>)(MOhnK)Hs#r2UVO?wU63&4TfkP&Wi;hGIRAKqb_?;$ zn!~3&Youw)H&UJPDNnN%jqPSY&h>39ww@z5u(_@=3yKb0hcte2Kw=rx=~WskQa8Lx zv-=TrLBi1iYiTO``xwYk%0^Zmz06DU8r1E;5qqNK4}y5(yj_&MJD~jz=VI@o58FY4 zlFus&YCYzcbSj9PEIWxXdr4;v0_-K7Q>I4?-zv_)U(TR+bx5R`wm_I#A6F10HkPHk zG1arUIJHq9Cn^ZS_}b$^G^zpD#zdRXsv(r6I(HK7)FEsiUI0JKyr0)~Xmr=~HRzY_tSwUjFWN-*2}Mk*~q0l?-{ zgCRduQ>p$aH}CAcJ*aLp>`sRCi%*_(DWww9$H{AR6UW6lgl;*_O+n%u@le)$mS zP}p>;vnK~XW}7}A);OdwPZKk@1{4?`s-N5R)dTBg{5^SsaJnC4zx$`nEW+ z7Psl`ahyer+zyKn@-%5 zO@El6u<1(?hLPAsD6;94rmbH@(KZVAv+2}SX4BVzQ{!Z=d6-Hv+jLeZT@|UJg44Vz zLX9=qbZV-}ri;GzCr;`A0$akSbN*q|cM#94Iebd9Mw)E;e5xQmrP%}*iwFGEo7Z>)&P!bgY^{TIpM8(3^G(EphZL_;U zg%O?2z_E2Y{lOYqr|(#6ve`70sciO2o|dl7UI+5Suuk6u7LC(<@w!Bt&8j(~>-1jy zWlz3NXEwVN41JO7box_inDsPm%(I?!nsL^<9>QchOzZTX2l>Q3wodQ2`}S2^r@#A4 z((e6jx|q;M(K>wxI5kf8yB;9U%{HAZ*^ynRKbbh?UjSQQ$U2?P^@CccPyGcq$A&+7 zLBpDAoqhty(_E*=e$Goa82WZza?*ABBSfBH=xLY+GV~D1ZA2pfTnuyNkFC?=d-I*> zoFlMK-}N(-%_j?*%I4D;A}w8;Pb?pXb@~fn(WnNZYZ7fftLBKV)4vy20DfAhKM#hE z&^n!)_q5jO-~Oq2t{?h3{dg+t*g8Faq9$!RX(aRBJE^_+-a8Q-1?%*&_b0dMV!|fc zbm7&fyZ276=HXkXE8x^PnL8QhW}D9HJfiFL3lpdGKmI#z(+}G^oty82S*N%D7}Avf zI=u|!X|B^JQpxGB(+~U?*9U#u>@*?+neSuk^e^r_{k+vCn=NK^(!F)^{|>@tvjy}& zf1W-DEE=cztB8NG=jorGCfDigJbg46_#)To^e5HRT&G8Wh{<-C*69H%3x@pII{hoZ zPQU2BhwJ?@E)+Uk65yu66o&uxL~RZzFEB`A2@8z96mu*xr007&t=fbZ*|$TBkpB zw|TA~`Z|3Mm33^L{*_&)H{C^wy#L<&(YH>Y4Ni@dd2|PHZno*PDr9@_H3+0@)2Xp0 zn@$TDlTBxqAGePZr}SlD>u{f^bMt*L>-68>f!3zKPJaO8X|B^3Q_1PC(`OQSg88Nq zIdbcC@g1_LD+7Nw8=vB$Z@R@sQ1hY}yz#XHB}V+yN4D@i9qp5l?)v)41A(>F3XJNA!Ao7 zeSHnrc9dja!zKVkC1*1zOIAs?2M-G>=dBQ6E;7J zx|OHsFN()%LA+;!{Fn{<%ow=*3_W5YF6k?Nu@=zaj>mke3*Uyx!JXlCEL>JNI;7)I zhfv)eAuJ><8%8b5e}qcs_aX5IPSLQ1g*mYmREF+)^h6X&pR|k>utHZoh{kqhF}i5< zSJV%9`!%0CHi0K`KdN`nn69>vYz(+JeDw(h)>46AqXK{1PM4`3oPe@|`kA;b64X9; z5(&yvRC=uYb{P5Ay~6?@zmAKS`1)AYcqO>3su1_=AKaEA&wb`R3&&$*9wgB~6#;yC ztv9if>v@J8Udc4ehO+SrZhwDVs*i6+cb4c=3S$%Rq{E|b%Z5ndFoyUJ5Kj`1=Q=`q zDRn7c+#-qU>qy>*m!O689UOF!o`Fk)OaF{H)Ve!EJHgj&S^gd(?C-7g|00r*y9-W0 zU(v_@V*d?3Ls4C!{)XJKx0dyM#2|NT5aV+92lOq8d-&CUa_6P-*AwNg9))(bdgV|J zEO+lOC%H?>>K&uNDt)IgwjYFpvuYQt&WZJ7bw3y~v$`3DcC}7OkJXC?X7w*4!792# ziCvNwtG8ejs8`jG`?0z)39F+StE1Cn^#@VvRsIdesH+2SX+YoIoC)4WA_d`5V+xd5 z8-)$J?|f>(Y-)kds2b%4kHPSfWV1S}M%_`N(tyW%@SH)QzwC^!4+d`yptQ zX*SE$f1vSYuunqKwFUGkL%mphD{>bm%8yWRsrJrOmr>aVZX>oIYd4g=RmqHHp-`fk zNi_dQG(9bxPy+De=j)o%G2xe6{NQ>n&)1 zbbJ*7;A5qDM*-hcTZr$e;hS9en&w__>BP4K+GqGqUTD*2StZ&lu^-%u3fuk~8E5;w z<#a#t9Vo>|^tAT^y(hjNYTb-)Xzpp+O_l(!?$;IOlO=#Ts4FZeg)x~>3Jqr~B&F5+MJ7Im|HfvT!-Q&||F(Wa<6CtMTH zPJB(OP#s>U&0+PqT;R*&@VISWuia}bX;MwYyzr#bmdXnDrF8miw8!OS1y5qXMfj+> zB3hZWG|o_({3PwCgW`vp%Xs4TW}KQe`8*5>hEN$tqKfdtfQ^8^#<0=n8(#(N1{{tl z76Y^cDo`XZ41DpVOCagyz;4c6-0&W591}uls+XuJTHD*}2YmZi z-~;dm+{;}J=*3<4Zop^pLWcqsqd$)N0dIN=^#eBGUDkHMGw>pIC*TKNs2}k8|3&?9 z=-&A)>IYo?GU^As_Z8F+7=bgl3vl@#Q9r&Y9KzrK_5)7+3+e|Pw+HnDy5B?nfcri` z{m^B5KSKS0CzAO9tOuko5RcgpJ^%L8fze@4#*H(TjQRN)r{)gJX@_%L2rM~q&oArk?I4OkUjEIQ zfxOYJS;4%5%-ixt0};r}2_lL9P0@OLKPS4WdHKsTr{;~0W=+j2xGg)7H{s?Xfjn#L z&|qForh0sy6_`L?0VqH@H7_TChTtJSZo|J1P|n$e5D($+$G;4yKf6Q%68>@gI~8~{ z{SM&INkYFD_+S$HY_xY)68dq#&jX$;zkH}qoWNfP{F#JAH?(Gc>v-7^;)_1fOZkl7 z%_e*u@C~N&2)`8gx+L`X0biel{$b#+PeT7Z@MeCg{I`H7evSOln0*R7)q4xVG;X)k zxGkq~i)K&Fn{XSA+s#7*dEVAx*YSZvl4;=B_(O;M@}De+_`D6}>^AX9{e3_1dx5`? z_+C#t${uS8PwB;Z4SHT9S z+y7fYe*hZ^uR*Tk^Cfe-VS>F9Zvf5T4?$0VpJFWJB2I6dUzW^-`CbU$U^)Ki3cG60 z>>%{R0Ogl~e)My_y$6i^3{d`3(7*XY`usl(`rEdr&;MJXzu|Z3^M4}g)>qT#KM4Bg zUQeI@2Agl!Q@+)Cn$Zm`Sehctd z5uV$f+0yP50yPEcpCkR3ls+rZ%I!|(&2eV{=u-Tv0^Q=hy}d8M-VS1CgL&ndKRiCq z3(E^XslT~pe%ZrR?_US5m-u^~>V0=`x@`EK+3-OJY6tbxd7xVcI&(h-fnN!{3pBi+ znq@b!eyl?Jg9D^5Mf%f7KaTn*Zof1CPK8jt_alAXzTRF^Px7JmJr4XD;59;CcH5Af zhpKu0)?v42F3*Z)XU2z$Wx;ZtJuHGBb6n!*xB6KyXDNro5i-GPUD$IvG{su+DyLJ2Zu z#+~JiLiW*Y5upB~2P%L)v^Pl4?Bo3mO8l7mmp9`3mC+ZilNWcpPyi~wz1x(hqfpB{*y!_qy9F82x;WPoS6YxF( zHw*Z80VjNy)7Lk1Sk}Pdjn{LysG7sAl^i~xa`@8K9PYe=!_&$*%$~vFIi(z4Rl?!V zF5>X`Q5>$H#^Gi^hvy4?#)UlIIGMwTyc~Yb&EX{u4)3*cI9=r1dp?g}crJ(62z>nn z9)D~+hc^iPh%BS>!3OTWxl$!{#WMFf&|L5UPl9{P}jrL`5lTUNA3GF$XZx z#9@sL)z(MCO^r%XeM2N%6qs6aex#yW#H#CCisrP`)>WNfTcs3Htfr#5Mk%UVP>=FB zj5P6-8^TS^wGH(N5fy1o;kpXSAVQ6G5v8cMz81fc@O=EvMFeRLRTYs6r6^pZ&TXog z7glSkkXOc(qRL1^Q!^^$;JQjAQU)p=c^M}a^J*(mdjrbEPZ6t#7dodIS+OraFI*o{ z{@I64oip$+Qx=rz=OKX@C-DLjt?@Y%5%OJR{&AUp#Ne|FL;;KS{bctAS;&T8WBw_b zeukeS@)xpL-;X(e5J}jkZIJbsXZnF5KaTfm%;N+TOjAtep25HRNVF7>k{FAWSHI)gGk=K41v(U`yHW?zyAUwuFBkdC)6D;(DSxBL-zf5%`^y}E*_402$Ui^L z`gb8e$*yd_T;D7c`Bzip@DL3>vVJK?J-`{;KjF@DB2y;Z$$&C}gZQt^FX6jLG3J-+ z&lw{Bu6Rx!kohG1*p%OYS2-i})5O3tK`I^|RSE=@;4=iMEZP3Xd&*f-%{>e#UJl~F zvfUCve+7~Fw@QS7GXMD|Jkio4;YfsK-7G=;bcp(gOG1G+MOitfDZ(hrf6O!FQw4Z% zVMm#Xf3n}CULrM_tp3K|AOX*Ri~Ky+$G40R1ha^{On*O;ENBa9XN`$j;6&QbM0|F9 z-y;z}1a{7EM6qxdq*dB6V`3I8wX{!(_#8#rokaX`inJ$*_~YaI9*Owj@%@`be6Aw( zdLn*=BK375K2MQ)I1z7wUiBMMESv=`CH1B;F-sY#NPU=y9|iqZZbY$gmU4n3^_MX* z3%h4hFD2qnQl!2~#GkB4J(7q&1$v>}h+^R^SV=kWjfq*x7)8$KMEqDq&eKFZd4q`$ z&6iANgmRy-i!znOI$L?aSH>kAhd_qXzkkkQ`2PJv`XM7p{}cmH^>&)-y_(VY@h|7| zbpk(M=pQ*x=ZkWzVxI+3_N3yU%qHdz|{zifCG~rtXzT1SqP2d&NxO517xe5P6;FFE-MnPX7$w1wk+O3ncx!0x$Oy*qH^& zvM^&jN+~tX-+~l)2k;q5^k)gf_t(QSfggqbk^7qLY!~(3EbwJQ&vb}(=>jkJAx{$c zCk0;azp^tjr2S3c&328_sb0B1Y!~Ge!J!|8RfgH#IT;@MbFrUMqPy4=WOxxV09DY- z{a1FTkMz3)UhXqXKA#nMxi5XTDC@Hn<&T0NN&L%w*Rg`WSm5RUvBWQ6cmsnXc&nh7 z`?8Y$34xdU((Ftec|H($xzEn-Mge~o9P)g~r`bL_fFISrTIDi^AEC(oYj!3Mx}~g~ z_j_xEoXf23f?n>AOMadac)8Dfi^#B_;brbVn1{^~>NmN+E!!Jl_&z!rqBHM&*yS}Q zey#+*KoS{XjVNF4kIQlYfxyfCf7#v_1zw&ru(NxVmxT#Sd=@P7v(hJsI>rM(QW>i( z68y+{Z5Q>;vPOdbkpubDd%X16aZ(RaE;!Hm)LAL8aigHfCx|{k_p36Kc_zVfW zJP%=aIZ)zFz!$KR6Mt3+dU-x1%h@XM@*GI^!zT=%SO&#T9?toZ=P$DVO9Wn?OG&+S z6T|l}=gt)PpNMkgIg@PHYXaXb^q3}!+%53(T#4PuLE34#T;AG+yh*?4Y~YiPZ;0Xh zuRAVHL0`r2BNTaFB<0~AftTlFX9_-F5O{eWC;K^b1n*CIz9;+5&G7yEtyIu|dV-%N z-X};ZQ_wF-f&WiYjyw;P^6-2L`d0+KJU5ean~RMtl23UKDdpjt0x!?sKF zE%;x<@FHRWTYw*#d4f{2qTGO0lmzdDC?H^ z6UzUVpubx5^DQEEiNF_%bBqZBe?P+~6u~0Tq`A;izTy4^y zHby@}k>{wgpXUm^JU<;PSX;@;>0AH8Ota@_j9x?xU`q=84pF{5S3V&0(s5W&kvz!r zU+EW37I=9sEA?T$z{~SyssEMAin=a??YQ8hB$R|`APVYMWG_d%UkQCp8DB)m9Lsnpk5i##rm zlLx#$2MhS{(gqK>ydD;?S#98vsBKoept4yVE>32%;`IfkIgCW7O=htAth@}oWFZQ( z*?0i&Td)A?v-5z*$Fq6uP96Zl$C5l=k;~(DyOdNfZlGb|BA3JM9q^3|wNV8t)z-m? zoP!ZK1|zT!MqnF^z>2mee<_5w)n=elHfWx@>Nz!{_t*ybg!Q z>T&rXe;z|7G0~IfbvS*f$m{ZXJvO()fj5|p^{MQ*RaNV1=Txed%`H4WzqwY8ENBd? z&Ebez6`otsQWsHgsHkfRr)ZPS<@Pwe4!hIk@Olw)daOPJgULDTYn9z=b)f^@-oZ0D zoT$LLvFPI!~oZg;zUKFnXA-AVe(Y4aM&NuI^) z_PH^*PA5jq<3SdWaTKWT#-@hKaC5Wz-3lCNCoja|MQ*RphAy(ZtxlKQHE6vyy8{RL zcAFJS#qD!ho!&Gud3-*b!|U;StmrVh3*a<%uBy^exDXuT94~{_sg*V1%Inppiu&rX z+AtS0rz$)@MKkO+h@ry;iAN9Ol{km78LEmS_5r05R}&!nXo%P9fhKTcJ{Z|c-gS1j z&1duCKf4vG+wE}~C7Bvg4RH)fiST!z$sCb*QvLzC3 z#@Mh+9m$Kfp-eX>j?LkASTWCSu6{DpBn#(nVJ0uf?s225?NDyu5if&63mXP0fk##V zy$xE|P+eQ8+R%?FdKhZJ?)G{-4!6tegxJ`P1|&Hjed6VDd0k$s+bAn?21GD{n`@!@ z2A%}y8y8fA74^EIRbX43#%Yk80VrG_w2#jNE$D)0W3?yfU-jY})S03~r`1(7H)DWO z6(no7lC*fC3etgzM4ZZ?+_ir*k+1}n`jOeJR! zF9X|sHaqkQItWARb(^(hZT;K^g?OrJs>LlWnEWQN%A`h}OI$ZLVA;SG1#i%eVHLN* z;DSdgYijGOQ}ia3kjqK`dtGodtdMKR-~t8D&F!&5s$kH4P@Qh~;Ikk;tL=8L2lmkJ zu^F9!+b zmZm0}g>|q;DZ31_zzq{b?ATm*x7^jAD>fYcSs^FXpl@wn(r>neRwd5_AJt}s=VQkU zx7P0%j`2%ub<@>Qb2QAu8p+wJhc1XB4f zG{$4>!Q?rJGndnCMdLkQhtmpqHM9o4QF8J%r!lKu#aol>~Qupm*x~ zW>wtoG=-ZR>RQOpQ0KPPS2BkqK^)n9P2Lc@&E|19ov@l%t-^bNIyW=~K2T-jf<)n@ zzXPDoP%((`3DE(*l#&Ubo*a6sjg*Pq>vRmRpP@qC=nxym8%t|2W?)7w`4&9FDrn`F z=5SRi{ZkdL!?jOpK3ld`g-M&HVv$xwFdi5`EVf;CD^!S~!W2CQli{{GtxmfQ?iQ9} fgXZWn({_0OHu%zmW{LY}SQ9zzSSa{B#_96^by8<< literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/AdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..0f63cbc9639733a8f6f48f21cc286ae2291b2e6f GIT binary patch literal 5076 zcmchZOKenC7{?Fwg%9K*K0uJ61uI2*J8hx0KA=EFv>*xuLWE(MxwI3ebL%{sLZYzI z7!wj)7>zN}1x90HLV~z3E=V*kjA3C|uyDb$7-L*uLZYGme|OGlPsf@L#P}uOe6Roc zpL4!*?!EK*ob!MFx<9@D>iGEhhsK!Avy8dgV9dN*s=7he*Rk7;>Ac&R-|wmF$8(Lj zc%Lz6?loqI{DV?KjLJq-u{di(Ge^!M=}MbeBHMXuHCQNvJ6n2Ex>$Or^nIz87>itU zLCQF4m!y7ejwXCWIW67Hm+#k>Dqf~Mb2Qe}+7%~kt*fTCMe%agZj)}SsePl|!&0SV zIkRu2IyiOSzZ5T(f2y42g=w~!J1hnc*5-J=RpK#=;asQVxg^Xgi{VVc zz{C0-&lM$FEaonYk%{XLb6uF#7NcuA!NVRM<_j&3J19A!+;Qe{wE;CjSJ?HGI&z$K z=V_3H1hz-o|8=@$uJQ||6A;;Rd2enoyvtAQ!l5Ul5uo$-w$ljV6v@k~5>ERS#D`B@y7EzkNo zc=lQz-?nvLIq-`(ezQC~gpvEN`=UShOKUAWS2ggO<$0+No(C+CZ{fKvjs{&6`uuVo zJP%qP-@-Fn9E&Z_&N_IwW9-Yf@Fc{s!t(5@gJ-$r@hv65%B<5O z*U55k-1mul%zJ{fL#QL&!gk4m!OQ$8?`yEb0&SHKc9|4$ybjAau*U>? zK|a`o6khsFog8?20`?6h`fYx>ELi&NV>w5#?+Ck1J{*lwu=Lr-a*kl%6KK19uuW31 z{8#g_oFlc<0`biOyF!YvH|`oa*6Y51a^pF%aB?0_Sc{XHd^qVj;`_|!`s@384Vr`$ zaX#~-{{KsN=5B31hOsX1`|u&`mpb2X_urr%pLI6bvAiprttI(pudty2U~ac1zY?2`nuM3_GYtTF^GS6g{5-q$Y9n4(QrA~o6F}4qd~H>wF`V| z^Uipf>iM8l%mleG41-cRo61K6xlAgVT-RA0JkvBWih-4Qq-oyWY?}8<+oVb9KItB5 zzw|ljnr1TzPmP3`a#V~nH#WYyPCIJgJC+`Tg(j3 z(4~Q}5Ej$y$_y8y;q*Y&kK1ZWm7!E2N>zhHxpF3|6v`%7D2D@K(e%U}PH9*sES0gn#95_S7gd(tkor@ei`>u_H6IP=;-D$NdDzGDK>(P?vk1D7N@p8x;= literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/isimcrash.log b/isim/AdderTest_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/AdderTest_isim_beh.exe.sim/isimkernel.log b/isim/AdderTest_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..2d62d69 --- /dev/null +++ b/isim/AdderTest_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,29 @@ +Command line: + AdderTest_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 37101 + +Sat Aug 24 17:55:24 2019 + + + Elaboration Time: 0.02 sec + + Current Memory Usage: 195.351 Meg + + Total Signals : 15 + Total Nets : 59 + Total Signal Drivers : 9 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 9 + Total Traceable Variables : 10 + Total Scalar Nets and Variables : 421 +Total Line Count : 14 + + Total Simulation Time: 0.03 sec + + Current Memory Usage: 272.949 Meg + +Sat Aug 24 18:01:51 2019 + diff --git a/isim/AdderTest_isim_beh.exe.sim/netId.dat b/isim/AdderTest_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..bb2641a9d9aee7a14e5ba9e969257543d0825f59 GIT binary patch literal 84 zcmXxXK@I>B6ocVfJv(EEgD{5Mx~N|=ntW+%k}7VD(f;G^=A1J$JLY=|^{+{%{^%T!+(CCSk|-yMC|JvNR6xunh!Xwn2cfbC8W72HJun z;lRq}YSnHaNF~VAxRn*pBwiB5YQWBqCW0zrcg|u0n5bxi(s5|=^-ZJU5P)=AfXc7*a45O9b9i)Jbd{18E0wTB literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.c b/isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.c new file mode 100644 index 0000000..b0a7feb --- /dev/null +++ b/isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.c @@ -0,0 +1,40 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_a_3841309559_2737618828_init(); + work_a_4008929629_2372691052_init(); + + + xsi_register_tops("work_a_4008929629_2372691052"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.lin64.o b/isim/AdderTest_isim_beh.exe.sim/work/AdderTest_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..ac16d1fa2b5286061d4a96ddd3817efa60c01060 GIT binary patch literal 2768 zcmbW3U2hvj6o$ukOHCJ@c_Up4m^E z?bU21!<1#%TkNo=C}V%7U_Hil_B1=qZgyIGf8&44!*Kb}amW{HpSR|Qk zmaJ0o^1A33TTYblt9I!MW=M8EaV+!kI?L?NWGa%;@>U zq3}rJ`H?Va?pJ9&y}P7Cw%Fu%0%s`XOdj7ADHU7c39XB|tjB9iwVg=C2rp348F=wY z{4(~f+-FZwj4%&KHkUYdi9C2t)|LB31)A5#dX?JI=i}yEl#B6gDrr;WzmbL%%jF#S zx@4xNJ1>QX#`XMbGGCLiB_-4vbo`#o&uY4s6xKDap1;DkDbSxO+V)Q;3iY1w{*bHk z6+cHzfA+|{FUQ|RC1v{jQP<}Vxp^{4x-T2gP!&ZVAKPrw`1_}le4!1fRTI&KU+7M- zzs3`qXuQe2gL2^t^{uo!QKWum<4v+UUFZs)d(l|=ax0CEOjiZ`7 zb_y_t{X3gluR0G(#AlGC8TRuej(v8H{XJG~%5O~3M^pG$Q}}mN_|H@L?^F1rDSVb* zIp(k4fb#Ri)mkX^z?xI^>r?cs(eI0(E5eAop*!RoV$%@2f)5?nGkVNuFPr$Gi=n-2 zGf>9Y+BcROZGK~QwbShKZeyw43on)yD^|5?SC1$r-qVQ!6Fitlf`lNw914xGR +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/Adder.vhd"; +extern char *IEEE_P_2592010699; + +char *ieee_p_2592010699_sub_16439767405979520975_503743352(char *, char *, char *, char *, char *, char *); +char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); +char *ieee_p_2592010699_sub_16439989833707593767_503743352(char *, char *, char *, char *, char *, char *); +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768497506413324_503743352(char *, unsigned char , unsigned char ); + + +static void work_a_3841309559_2737618828_p_0(char *t0) +{ + char t1[16]; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(21, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 7680U); + t4 = (t0 + 1192U); + t5 = *((char **)t4); + t4 = (t0 + 7696U); + t6 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); + t7 = (t1 + 12U); + t8 = *((unsigned int *)t7); + t9 = (1U * t8); + t10 = (8U != t9); + if (t10 == 1) + goto LAB5; + +LAB6: t11 = (t0 + 5304); + t12 = (t11 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t6, 8U); + xsi_driver_first_trans_fast(t11); + +LAB2: t16 = (t0 + 5144); + *((int *)t16) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t9, 0); + goto LAB6; + +} + +static void work_a_3841309559_2737618828_p_1(char *t0) +{ + char t1[16]; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(22, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 7680U); + t4 = (t0 + 1192U); + t5 = *((char **)t4); + t4 = (t0 + 7696U); + t6 = ieee_p_2592010699_sub_16439767405979520975_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); + t7 = (t1 + 12U); + t8 = *((unsigned int *)t7); + t9 = (1U * t8); + t10 = (8U != t9); + if (t10 == 1) + goto LAB5; + +LAB6: t11 = (t0 + 5368); + t12 = (t11 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t6, 8U); + xsi_driver_first_trans_fast(t11); + +LAB2: t16 = (t0 + 5160); + *((int *)t16) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t9, 0); + goto LAB6; + +} + +static void work_a_3841309559_2737618828_p_2(char *t0) +{ + char t1[16]; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(23, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 7680U); + t4 = (t0 + 1192U); + t5 = *((char **)t4); + t4 = (t0 + 7696U); + t6 = ieee_p_2592010699_sub_16439989833707593767_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); + t7 = (t1 + 12U); + t8 = *((unsigned int *)t7); + t9 = (1U * t8); + t10 = (8U != t9); + if (t10 == 1) + goto LAB5; + +LAB6: t11 = (t0 + 5432); + t12 = (t11 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t6, 8U); + xsi_driver_first_trans_fast(t11); + +LAB2: t16 = (t0 + 5176); + *((int *)t16) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t9, 0); + goto LAB6; + +} + +static void work_a_3841309559_2737618828_p_3(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + char *t5; + char *t6; + char *t7; + int t8; + int t9; + int t10; + int t11; + int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + int t17; + int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t22; + unsigned char t23; + char *t24; + char *t25; + int t26; + int t27; + int t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + unsigned char t33; + unsigned char t34; + unsigned char t35; + char *t36; + int t37; + int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + char *t45; + char *t46; + +LAB0: xsi_set_current_line(27, ng0); + t1 = (t0 + 1352U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 5496); + t4 = (t1 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + *((unsigned char *)t7) = t3; + xsi_driver_first_trans_delta(t1, 7U, 1, 0LL); + xsi_set_current_line(28, ng0); + t8 = (8 - 1); + t1 = (t0 + 7853); + *((int *)t1) = t8; + t2 = (t0 + 7857); + *((int *)t2) = 1; + t9 = t8; + t10 = 1; + +LAB2: if (t9 >= t10) + goto LAB3; + +LAB5: t1 = (t0 + 5192); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(29, ng0); + t4 = (t0 + 1832U); + t5 = *((char **)t4); + t4 = (t0 + 7853); + t11 = *((int *)t4); + t12 = (t11 - 7); + t13 = (t12 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t4)); + t14 = (1U * t13); + t15 = (0 + t14); + t6 = (t5 + t15); + t3 = *((unsigned char *)t6); + t7 = (t0 + 1992U); + t16 = *((char **)t7); + t7 = (t0 + 7853); + t17 = *((int *)t7); + t18 = (t17 - 7); + t19 = (t18 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t7)); + t20 = (1U * t19); + t21 = (0 + t20); + t22 = (t16 + t21); + t23 = *((unsigned char *)t22); + t24 = (t0 + 2152U); + t25 = *((char **)t24); + t24 = (t0 + 7853); + t26 = *((int *)t24); + t27 = (t26 - 1); + t28 = (t27 - 7); + t29 = (t28 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, t27); + t30 = (1U * t29); + t31 = (0 + t30); + t32 = (t25 + t31); + t33 = *((unsigned char *)t32); + t34 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t23, t33); + t35 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t3, t34); + t36 = (t0 + 7853); + t37 = *((int *)t36); + t38 = (t37 - 7); + t39 = (t38 * -1); + t40 = (1 * t39); + t41 = (0U + t40); + t42 = (t0 + 5496); + t43 = (t42 + 56U); + t44 = *((char **)t43); + t45 = (t44 + 56U); + t46 = *((char **)t45); + *((unsigned char *)t46) = t35; + xsi_driver_first_trans_delta(t42, t41, 1, 0LL); + +LAB4: t1 = (t0 + 7853); + t9 = *((int *)t1); + t2 = (t0 + 7857); + t10 = *((int *)t2); + if (t9 == t10) + goto LAB5; + +LAB6: t8 = (t9 + -1); + t9 = t8; + t4 = (t0 + 7853); + *((int *)t4) = t9; + goto LAB2; + +} + +static void work_a_3841309559_2737618828_p_4(char *t0) +{ + char t1[16]; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(33, ng0); + +LAB3: t2 = (t0 + 2312U); + t3 = *((char **)t2); + t2 = (t0 + 7776U); + t4 = (t0 + 2152U); + t5 = *((char **)t4); + t4 = (t0 + 7760U); + t6 = ieee_p_2592010699_sub_16439989833707593767_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); + t7 = (t1 + 12U); + t8 = *((unsigned int *)t7); + t9 = (1U * t8); + t10 = (8U != t9); + if (t10 == 1) + goto LAB5; + +LAB6: t11 = (t0 + 5560); + t12 = (t11 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t6, 8U); + xsi_driver_first_trans_fast_port(t11); + +LAB2: t16 = (t0 + 5208); + *((int *)t16) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t9, 0); + goto LAB6; + +} + +static void work_a_3841309559_2737618828_p_5(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned char t8; + char *t9; + char *t10; + int t11; + int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned char t16; + unsigned char t17; + char *t18; + char *t19; + char *t20; + char *t21; + char *t22; + char *t23; + +LAB0: xsi_set_current_line(34, ng0); + +LAB3: t1 = (t0 + 2312U); + t2 = *((char **)t1); + t3 = (8 - 1); + t4 = (t3 - 7); + t5 = (t4 * -1); + t6 = (1U * t5); + t7 = (0 + t6); + t1 = (t2 + t7); + t8 = *((unsigned char *)t1); + t9 = (t0 + 2152U); + t10 = *((char **)t9); + t11 = (8 - 1); + t12 = (t11 - 7); + t13 = (t12 * -1); + t14 = (1U * t13); + t15 = (0 + t14); + t9 = (t10 + t15); + t16 = *((unsigned char *)t9); + t17 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t8, t16); + t18 = (t0 + 5624); + t19 = (t18 + 56U); + t20 = *((char **)t19); + t21 = (t20 + 56U); + t22 = *((char **)t21); + *((unsigned char *)t22) = t17; + xsi_driver_first_trans_fast_port(t18); + +LAB2: t23 = (t0 + 5224); + *((int *)t23) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_3841309559_2737618828_init() +{ + static char *pe[] = {(void *)work_a_3841309559_2737618828_p_0,(void *)work_a_3841309559_2737618828_p_1,(void *)work_a_3841309559_2737618828_p_2,(void *)work_a_3841309559_2737618828_p_3,(void *)work_a_3841309559_2737618828_p_4,(void *)work_a_3841309559_2737618828_p_5}; + xsi_register_didat("work_a_3841309559_2737618828", "isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.didat"); + xsi_register_executes(pe); +} diff --git a/isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.didat b/isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.didat new file mode 100644 index 0000000000000000000000000000000000000000..f94fc1df9caac9c9a08f0cc840371e30c1eace96 GIT binary patch literal 4928 zcmeHJOKTKC5H8>E_ZuHX!9zf^+1LGYj`sECYXW^fnHPMF=*hzC9BS$}{B zJ$MmsDtOFM@SunX#jAJ_Pl6|v_55BIh>Zp~!c{FJ2~60#7X$S-(PL4|oo& zgEzo?;B)W|NOYt!(b~5q_#CzT9<3BnK0*I&%EDTaXW(ec(mK=wmQnu)P>|;y>b-;d zUV+1i8v#4OqaZ<>($;C$c`4_Zi1CIxxfJAds;lG{vrnnivB@M{C(J{e4UM<$)H#M_ z8=S#2#N%t`ypfnqOFs;ou~m@to1f~MpK_)cOOeEmjWM2&bOCr8^gu-(9nL));QTSj zXY(h3^}GX6!fH?4s}cOHq{9o=ExKd%>yd*?~A*jlUJFkGz81+}C4}YE$VlA;L`DeRMXWe=W_U zc1joMUG@S2n-K6I&7=0@Tuq-z@4&B!-valSX&$vxx`5||`>+=i?}S_gNnP!fF5o#~ z+)2dmg3P9Q)K2-Yg6n<=Qntc8gY>}jbE9K7(fQZ*L)Wg~Rb4BNrGagqZGYWgdtTl{ zgl*S*$+qJd8`yUJuGsd;@~D5Bt|`>NX|OunKkgMJYoX*j$+N|^Q0F&=()uNZWpe2L zFgICB2_c;=-%{N#=AX(>*T)*C^3(OQe%lWwvkpr0?m+52R6?I5TIX&jeVF zu4y{i8phm8-3wi-u0?CrS~S1rVLZWaH*?tJ*nj*zJD~3y&ouun_+P+p`c`lo$p5dM z;4ZLM54>94uLgb`NTwVOg_$FbK|gc&_(10Hz`#IXZ%=;^gmK2SU6;$QUdCSz`f+^q zNEBV{FNc269}kwL5{98S?B#lkx$bQDzGBgF+VhqAVAQDA9ozI6hF6Zo- zclAs*{1VQk=ks8?#^s%7$oJsoY6HD{`F-a5IorCHpx-yuXCy1N%209voCs@mkE(Y* rEO&(?VHbz4MDfLp@8t_U*?f1gx3}o!`tp7IvV}sf&=phyzb-!k2aEPH literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.lin64.o b/isim/AdderTest_isim_beh.exe.sim/work/a_3841309559_2737618828.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..d35ff490eae0d83220f8fc27e3c790e75e387a0c GIT binary patch literal 6488 zcmb_geT*AN6(8Ga&uxS4CIv2(P`C9JSCGB6*B`qmpxZlNZqr&M%3WyGrfeO16I;2n zqxD`nsz^mKiDI@+BcY%c)DI*oMMXhHNTl>?#}$?_ul)>+wtzKA097n35P<2TZnvvEcG}_$Y6A-Jwlr!WF3hUqw{vu z=;aYHdSA!O#bxczx#-zRqx+HJQr?-{7Flkm4sql23B&C~ufvvXxYy7CuF3+vc=@vs z+r39M+#TxCFh$RI>NgRp^S-l2cX#Td(Vb5Hqui~fE`y-7kn7gPTs`-JFJYh`Vxn@- z-44C7C&1|b)t|QeTh~dg?R*@a)r{VY&r=B#AYlZKoy6!Sn2udchvDu{!7QdzgJrjt zN*bMW>9a53M9#njy_&o=uivA8OW&za?VR*f{)boOX3jBshvzU?x0Hgio_LP7r&5E4 z8}@RaFrYl~`E^GVzsBe<;JrFM04Kven2LHOV`cs#pdQeB;uK8we1!6Py@R2Hk?0f@X3Js`|j6w>-X#5*3GkAL9?mt-tHKQ%=3 z`23}^JA_KMx|>r}NPPa)_`H)ESOjRLzM>dz8B2Pjx1yE`xl}`UJ#rFmNP8*%{l9#? zu+Vuk)LpN=8b9_PbpHN(IIXsOs~9QV0JRsi1|BM!PXHtk-ImJ!Q2W6T9Xf zt1ek{mV`s!Y1#XSE2g66GK#F_^O~70D#b!ZRkNy8Yt$+Z4MT?Otr=^$JX@^{Z=D<; z-a0-$Ud-ocU@yG%V7*2#ni&wyi*wb^6JujvOQt8jx%19sPRdEeWLC~(WhJ8|(>ttM z(x^BdzA3BR2}z-F)ItyKAfdTL=;pNp(c|!NLL47NXlXo^Vu@-jN(P~s!#>!(c+z8u zPB^>^hDZ{++Ytl%Ii4nC@+09Rk*6owsajx*|E&oI@m77ZoDyX}5`Fx3GisjHF)K%|I7@C;?j1 zbgNpK_JekdUb`}5c@y}Q=Op-JDX#U!8Dag8 z;PEG#uS@Wa=*N(MbiL>GJvNwtF6Mz{Lk-sz+8uBp9>I=ao9XUC09ezX>#Klc%>UOv z1HPC)3x{YUEPqo3q0RN9584PE{rrEy{PA5Z8u#PZDHz1IU;m$&zbraKJL&6hgTB8e zNQtrnA7^~5Kfd_6eqR3uVEytZ*n;4E7G}|(_iNDTm;W)Afak&du%BD>=lDUuFu#AE zgDk%UW1=A!jb9H7Z92eCTl@=};oOgNe+&)8!W>{%gZZa@4*q(a0DiyuKgT9`lEuUM ze+CZj&*y(5VE%iLE(h>tf&I+8ES`OG`f5k=V{1K^lPoyq!3~5zKi-pm`A1MNnBg1r z=l594&&XRt-d|Wgg8BMKiS$*1{C*Wioc&0ANg~UhW`sVcLi88lk@lHTtdt><0}0kS#F!P671R@CS2wjO+g747o@_K$i@%}%i2)W_PAke!6$2yo7 zH(u8b0I?;-hv;=U03Qv&cLm@x0rA*7Bw#lxRmlNM$}0tR#Z9Yuz|528K6y1#ZZ(3k8X>zHA+s7mCQ^Bfo>rkY znhl4T6Xk8AdfD8zWy|FFlsTo3md8yp*KU}$wXe~3EZg+9|5rfp*-)KztTrXKEyt|R z+BR(2%;`qcBKTEcZa1@e4L0L)LDNXXvMi|K5@NPz_n1mfRf`2Rrxo*Z0X{sGY|hNf zN-?J>`78}xvl|bBg1rqJK3W{R(rlZ1E6_a9vYmjSnLwsn#3KeL=Zu)->#Iapv$a8)~vYz6^m0V6y#hX zBWH63K}2vl^0@*OtXNc)oSav(f)A<)C`W(>S_zmvMAC{{J}X0=g@FA-%K4%u z7gSAf;!j}s`4QaW{u}?30gJJx8shjZ#c{R`rf)EO=X3lE0zWR`w=f(Z{~H8+nBf>7 zf8RWg#&G0gOpfEe5)I$)xJT#sZUn)QkKcP7w-E$G9QUjo{|SO%{=Cn4Fy)JRUuL*J z?`r}d{#aRz|Dk}3dA|(LVKmf(HQ{=6hWqv0E$~G>j|zBFi1VX>I8O_FG0xut`0oes z6DSZ2_1_8y*Ix?2X9Mu#4ENXbae;rE5dT>L$Gr{D`h>XAIJH;&xYxa4|k6S1Cs2D8u8$!vIfU_9R9rG_#wud^YOXP Wb3UBU>!J_mby$nS!FYULxBmho8^w13 literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.c b/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.c new file mode 100644 index 0000000..0ece839 --- /dev/null +++ b/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.c @@ -0,0 +1,154 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/AdderTest.vhd"; + + + +static void work_a_4008929629_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3104U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(81, ng0); + t2 = (t0 + 3984); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(82, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(83, ng0); + t2 = (t0 + 3984); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(84, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_4008929629_2372691052_p_1(char *t0) +{ + char *t1; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(87, ng0); + +LAB3: t1 = (t0 + 6136); + t3 = (t0 + 4048); + t4 = (t3 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memcpy(t7, t1, 8U); + xsi_driver_first_trans_fast(t3); + +LAB2: +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_4008929629_2372691052_p_2(char *t0) +{ + char *t1; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(88, ng0); + +LAB3: t1 = (t0 + 6144); + t3 = (t0 + 4112); + t4 = (t3 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memcpy(t7, t1, 8U); + xsi_driver_first_trans_fast(t3); + +LAB2: +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_4008929629_2372691052_init() +{ + static char *pe[] = {(void *)work_a_4008929629_2372691052_p_0,(void *)work_a_4008929629_2372691052_p_1,(void *)work_a_4008929629_2372691052_p_2}; + xsi_register_didat("work_a_4008929629_2372691052", "isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.didat b/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..2143c241df3f51be493d5f50533fa1feb2f369f6 GIT binary patch literal 4312 zcmeHKJ7^S96ul%8o@R3laz$|R-`OjjMNj(wY@=bjTh`wk>A`%|xnHVRtT zk6YBNfclRh-yH<+%`qsAe?1TL_khjn=|Rvj_z-xl$Ry-O_3Vbt^1g6SG}X==JNHW>wg5_VHN(-p3f7(y(5PV(X9e)1g}%n@38d_ z(d(x7a0vb+Vf)oIt6Tl9X-})x#a`#O&zC;bM@hZbypzIzG~EH+D|OQEy#2hiW0P$? z_uPhUQ+;P7CVF;_Vzx(O_zkkrC}vkAhTj_-jbipjVr>8Qj`t&n17<)4=hpq?ydNN( zQsW=$bv{ksXuX^L{P;VR;5+R+cmW&*FM|B0@_SgW6uff9zgF6;yo}NxM$6Kbdje z7!%LM@STt0oA<+T-YZ^<5fTRFnaN6wfZ80TnOHHCrTj#kIq2_A2Vt=k=alATUg}$5 z7PeBZ?+xTq{R2riopxmg^DJFa|iqcXF^sd literal 0 HcmV?d00001 diff --git a/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.lin64.o b/isim/AdderTest_isim_beh.exe.sim/work/a_4008929629_2372691052.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..8aedbe61e7a6e302cbccdd47d4752a5fc3e8b77c GIT binary patch literal 3792 zcmbuCO>7%Q6oAKeO5IXYxBLZKgeBZ6mFU{u{38KLQzskAYDyBI5}@tI-ZZQFPrI8o zMMxa1q8c|M;^zWa?%cRU(4tm3R2<-rM7W?zpsE}yMFJ7to1N#3C+h~mlV)e;oAEbwYBPFMGWy|Qo6+XevXRkvJ9XD+lv4Ne zjbdsO670d)D_{8GV6PtE4iQ@LDjXrP}v-gd8DS}Zd3 zXm;=z_gM!^6bAO9x!_u5TV((Lx+Iw6>*M*B zWmHVQP>M&FtOVmD&{T#hJ@9d&YzliQ3p@_~E-3iBp&W;XDpEN@%zjehatIGK){DT< z=YO@&f-Q#9p~qlqElmpG9`%^&A#?x@d=DUu|J;E;=)m_w$S^<0J8;(FCZZKs&DI98*~~*P zEN0ErS>?j6O4;4KWSEv8=Z{@for>c#b22}DYAkO~PfX0_=FGXVQ~8|9mOaOG?F)|Q z+pZbh&Ds#$=?Y7}?QuoV_RYeQ>%zUjEIAdM!=me40^0@0g}b2dS{2V+usoly)!b^q z_B`{l1qrlHAl3@p@4w)li6Y7ruOV0?h-0ll!7uMgXvCjI2!ej_loEd)AqeU}1&w$b zAqeVY{Ubht5Crw%y5HjXZvhH&{6Q+=X(hGxjAp3%2R noa%t=2dfR%L6jta20qXa$*>q8!$yAazJo%X;?@G(;*{qW!GEV{iqIFN-2Xe*e@s>^SEBWYfsv{azDnk zDl3Wzgh16l1X2FbKc;AmQPF>lPBigHOvD5u63Jphf&nxngJ?p?e80YZp1bG1-nBPk zO#CFDeSSRe=ly)1pWkct)VU|#x}`gH_>I%2Pk+@Ib4`meZ@kNx)_2#}U!SA=2aVbI zp#@!au`v&~88folnAfGm?-9=`si^A8CCYA*UTs^~$+Q5>^vKaaHiL2;YfmP!81 zbD71k-;Rg+9Zx}+%PnT5#mLm~JRnS`#ptM3c$lN(c~il;iY0T(9rNeBYxOHXeq0<+ zO2PLCgtf=BvPN_!k2v4At;^?6eM1~iOMfNprBUMZutvrA6f# z%kgjqE|9{bXCmz5=EM1igK_B7uV7fZN4i_F=qfz$vB&VayG%XQK|NsMf@em~ob(}S z%C@))sz#(1AJYT9Ush5KOy`@#i$J zw*_iZNAAVF7SrgS(udzaQ47yG;yBOpe5MH=_b&Suo{Pk>*7AI|2_E)`dVC8{hd4Sd z&wWksTw*c4g{M~>+bz%Mn&9C*r5@kHGboN>%X5DdJR2;=w=w1Rh~t11`GS=1UZeeD z|Id>~ZA`g4#4%-gzNok!5BH1T5cKDLj#{P6i#lxlt_I5)!$QmV4j-|@n3KY8Q%s)U zLRh|$^{{^xw$EbTD~s?3x$h`3eUX=i{g^C#%onML<=(8)CE|@&m+%{n<#!CU@uVcDVtkQ zP7=jZKiD?=GK>*xfftl!tRj|wo{5YUe*^Z`vx`; zcAtE(ylY4!Y)04vY6r`^Mh)B#w+`^~X2F{i_7?eIdDp;lKYT22IM|Y~x5@|0yN0+L z;6!=fz}_wFhc z6!wVP!Sb#ljj&%Ac0%o7d!*FBefHOZHG}t?!e-=y?UjP%KKoeK4D5G>{e*n5Tcn7q z!Cy15-xKyuwS(OXP!h$mX8qEYTG-oUscD-O0ZZ(7xo`c_^}-&MrKastB#Nc}>FW=* zl!cv?&o`n^ibS#Sg8h=PQ}TIZKPp9{Sa?~V=Y@ShmV52K;W7DckJTKWb+9iect{rP z4k@`dX+7*;gnd|TV6T)S)Bu+A055M894{$&L>BB-HrFl-mNf&*8qxNef=6Y+_Dd0X zS$~HG&v&z|4V#zGc^Qx*VBKDTV?CYl9w5}@zD2|?-;B@P7!UD%YGch2-`CMHF_IGJ zGd{{)^L>Riwb4eMSmv_+418)>XYssc?pZUt%|(*UN`mVwy*zxb;|VyNlgBLQLOi?D$SRcT>pEJw|RnYyK4L#v6$c z^INPDp8h_b7`z+Ly;n=cjOE0gFJkAra|R#6dx!9LA+&>~9ZNfwb}ZjtEc3)tGnTV~ zU1=@v7BS++1)!p8Yn)In+oE)O6gR5=f0u%&Y_{9t-U=1V`D)+zHd56 zXHt`csX|Z~oCwl)ZaO+KX5zW&VtgbsnaP&piJnbc>6g4_U)Wvklkq}69nS0&M)X3nht!b;ih<*r1{po(W}5>!zNQIYaU3L41* zSCMu%#;(?u`f=4SrbZ`&+U7827P^W&S%pM$Rm^NXkWE??b^Zscnl#B`ZhGOL!oo33 za}3k$kZB^5%BLqxS$`&G3fWXv|IX?tRCgsjk6xb627}A&uvYN8(GK_EdJvGUf8tDObE~u4r@Vqw;b|cC+fvIzQay-Gjzm zkBT!;JH;~dEN8MuOu3L5&zc$H8RD5RJ~(J9*P-fpB;g9#zG07YYP4Wx_$N~+q^1IS zM^lrfz=jK$@XnaZm8^OpbJm2buOh~iqosl=hX0Q1ztCphX~(|q&6OoEecj!D(CY<> zt<_pgUt*gd4JXsNsp(QN@RH-n;?%V1+h3 zdR>eNt@SUzU+Rxq+M-Yu#0L@{67=UIK3XaDSJC>|h_MQhFfd*-pZyV(s36~TVE zkUe+KoH=u5=FB-~?wxz@%>_l%($mrud88{pR4BD>sGFhqucEa4nk5C!sbnc`6 zC`N{$awMzLMn5>(iW!h_rVy+}3Mk5vcvQ~U#>su6oP=$}7>9(iIJJ%b#)|fhO?M+j zX*U7I%YJ(y>kHs!z85N^^Y#`_(B9%^ClWRZeiF)Z7o!|&A1}7wUn%E=%HTY^bwXv? zd6mJc`iAowoc8nV*1WpvJPVUQ2b`#h=g+u=@s#~B94V@MB>reDDgWkC6+eG=^Cy;t zhRw%Typ*@>?4{QgQr;N+$^KW{%hHBt&Kys;lks;N{@UKXYw?qRS$5-;g5Q61{6l}< zbq4`P28rDC@Dx-(ESz7-504Kwh zY^9+&7XO0aQyeFcGNHOAG+}NK)}Ud6*_!8=P&m85@10FxLLONQ{8f>~2+pgh3oZy$ z%@0-uU@sJZd9W&|G}HzCp+z+Te_bHtFAJ2H)K`Z53ri~N11zg9IKQf-(qBq3EAe_j2&n%e65wIvJufrdb7eJD^DOHdXpE8&vV z$}+(~08I#$`75jE2TT2Cv)vjMCIlhtf)HNH+k)Ku4o6m(LQ-3dJcRdVhI+RVf<-DjaP=u&TV8 z6(Jd+2-IK&I#Wun#jao^OKTQk*a#(tZ9z#80~x?rlpteXT^+{*RaK_20jcp@Y%Yt* zY_hvtG^~Dy%Vo}YI-CxZ)9FBl#p?3gO!*FLe!k73%%0=*&z|F%;q}b&3RxgjVL?HG zf2NL;a(+?al&OA8o+ZyFr%k^QQzv@Rd0LWxS0Pi*&-yXJV<_19Ocaj%qL}d@pH03{ z9^E>;-k3{9e!{Wt0*-({16?!L5Clz!!Oa{vvv3u z9p0eBx9ae6Oey+rjD_!D$^U*ktvk*CrWB{CiA5E-=yL)*ALYg>=)ofSr<=ZtspYdFP?GfG!b z$*w&;IL_EjX%tktI$8QvN|Q=;wX^i|lqOZ`TF25`DNQQW)yC3KQkqn!tCgi6p){#? z*AkZg4W&tix@uVZ0ZNnVbQQDoeUv7Z>6*#X%P38%(&c99J1BiDrA;h-E2T+gx{NG+ z1Eon-x^h^$meQmmT?$KILupctuI_JuIAb2ANhP}Wu=M4WCROO_Wa(LyCKc#vXX)vb zrlIdz$I??MJ(ALGEd2vY(@=M{vb2TLG{jv?kT!o@sJ?QwPkqPN_-^;iIfWhVZB1^) z*Rf?C1057cL@t9|pS8Bq82YpU$1FE0zUKB&dQT@C!dCrpiBElRHW4nF?7q*aDD@XS zHM*@>L9rho>APib4yCsIGsD-oH_hkTRhL_CIK`_#8QRl)>VQ!o>KXYgBhm&8q9U$c zweRd0@afNuN*Yyn#dF)r%ggf&r!=7nRBrO>yHO9-8ydcmp&(nz&PLv#4qBiG6^U$s2D{5pA7R431t z)%LfqQuJ8akgx=h~=Gm!S=6x=-zhwDR0G%JuTxXJzi6)xSmDgrg>2 zwXM~2spk^U945Kb7xw0E^o8$gg;x1g-<7`b+)2K0QErP*J$6T0W`}zYO%Gr5=k=3) z>YUtFjW-NQ3%QEI^Rf!GvANncnOeF>{kXuecCT0cqhak%kL$(7Kk{iAh7B|aomX7t zxzcl$=jv@fHI#e*-lw&6ZF;6QE6cU>=HZQB^@P&ZE#tQs*1lrcka^tRmm0rHtMA_X zQGvP}3|}h<56J-hU!QiYM{W0>la54Y?li*&>p-tzL)!V_%y#?@EKu8vw8vY~x95Y) zKyOQ$D`IF`gs%6d?J7un#j8H&9si2g)pgT`u2NvB#SwQ)O=io?0Y2^aEKFa+hF9H& z4WEat_-|1^2OHD2)X03=&uTE=Q6fFQ#FEq)Cn4*H@xIg;Cn3`_cDICbKSCc+6Q~1N z!)Mc(FFfF{n9Du6-B)a5D!ccKjid;ByVcIU9|?+&Fj+ydkqqXxjbtXbNsbXcM?tL+T>HYVY=*}kx?p_W;i82}OM zm(0?H?=mWp(Kvqsa|>4Xq_U+(*ofWFP|?ja=#kygT!|(vh-~YFCJvD`ebD4OBP;r# zp*%|DCZMl?-MBJ0;!`VgyNlGa+K75`zMh^*X(0=1j}Iovr&Z== z6=`L;Ij}#YQU0ySD$p1wyQeRm4%iIK8Al$9QOY42nE zt8@Do`>)f~TW92JVw|wf7bz=)>Lec{6=k;XmXF3^w)xccWkWshxo$H z2M-^C<_0Q;*&!4#@s8_U8w71-c8*CrktJ_he4&57)U-)=cMC=nr~Q}UZ@?nBQrgH@1yycmi9A1UpUMyZTMcoo}jLLfv{Xm?PRT)l7_Wg)#j&hqIOcS z#7-A;JG+9~ppAi@H9`cv=I&u4vHsA8L4_IrW5JnKKM)w|_Z02ydTI!IjM-TWneJwi z-mtb^ZO-I&meSJB@|LYIA_ty~EWA$(w=4) z{lAeqgTp?MoRcP+U|2g4wh|V4{M(2`xKU90!12*xrlcBWNLI}Omo`azl4c2nLRi!myV5KyvD=iQIv<+No znMy%CuCzupnJewtz%iw*>5Ul7AEOU;d&j@yQD4_7Cl=4SXL{AQpQPb_hAu?08^*sx3n?5A%OB&veYC7Bj!x5vc2W4;BVod2e0<`s?kyveg ziV5{S)7C$H(66>$f6L+4)hsD|{E>tp_6L?Q9y9K!k)xRCr4l zQV%S=9rPY|{YS&wZ!^5T`!A#)hdI2x6GG^fw&>mHJUXJnTduSR65h^w2TGfIczZgL z9}RC0=<4mudzi8u-thK$2%*>DJKiRtzGnf$FW>4nU?^X7xC4g&fbjOzKOX_wIu=6c zwe?LV)b~tVzj(7>ZQZ%>aBJ&-TzDJ!Q`9XVuJCpqM9^#QX;6YAF}$U11qT-1j@!*$ z|IzUF+YE0X*+u$sn8VwLAcS6N7yL0gkB+GDmMiUngtv8XKxtDCZ@ol*bnaW950Sa;CQ5o{+3Q;xVrMl1P_G+aqViS?|+)!4VNg5RNFy9uH!HtJbW zbShY|dv%fp$FsX^>p+jYYzKLJjTl9?|DGupoyQnxai#Y+Xik!i3|g4P)s9ia;=UU3 z&`vh2eO?XkB*EAnwJqXq8o!E9yB1zWje6By_6L$6%u3VUG{%+grctXkx|`Nc+)~@M zz^?7fyJ=HNAhCx6HztzpTF~uN2-9GBwef6s0&YFfm}(~4rttLZkb(4& z)jYeeYM%NkS`}kaR|pkkJ804?MO4e~cQ+k_n)}nl#dmbQPu--~b#{yAQ177Gj|P9& zCiUQNM_kw0uLd6ilX^31)a&h!ULgsNbdwrcK1Io)`O7$U|yU-$mVe z)m-%w$#SHd)R$i9*Q9PPKinqO$+yswLxM*qcZ~gA-j?PLob}mBdytm3cayEWMMmnW z;%SmChpN(#1>#+OY(TyzQEadpcPFMFv6)y>{0MQjB0dcMK5P8(SO5DOf8x#~LgPn5486v`&cr&RG=5k|zZ$;`=7;Sl=kf57 ztMUJF7lY?MODfFvT*h2$pq0&cw3YV~h@e;BQ9IbI+D8WcNZQIvPpuu;#o!aS3$sjZ zIl7hiTiVL|%dMmzhxuY~8-&m+ZSl6~JUXH-26Lr7kc+|PTjEVM+h?9^E3bpdzsrlk zEiBC5)QKsDi;8m@V9y0$Zc<2Bq&DBn0K0IAz!)3JS$L(L(EDiGMo`2*Y0(nJLA!_m zPg)dd5ADKPVdJwyJX!&sjbb^H83DgsjHrAK2sQRRhq|H(=N|18 z7L$i?&SG*y_z{%YJE%z8)Bqe0(5ZbaKo3Af{nR<5omd>Nh1qiyns^8gBFsez^*D7# zraGuW2hb?8?sp-y6rl3km5s-iqePmVq_1wWB82-I~M zD6}v&&9L@4e*IiyEe&U8;0Zv=Q27!jET3ekpbEl#ouxJxBUPlb219>3DNkF*ryq;P z5uFpVNPB{wlt%M5BE=sDA&cQ`|wyxrfgt$7W>)7S;3^s|>=kOTv5Ce+tK}Pf` z6B>I%)A9JtSjlvPJsOe5dWkRh&S98|%}g_vz6VO75?v8I{TjcVh@IIaK8BA(DO6v= ztfrO~seD$4J@j};1Q=~}?{)HZNOz>uL{l%vq-0mtmqc+8p9s{e`_5{9y_`RVDi}QGw0!ml*+jPD;ZC`&A&+ z*0YCO*562l#Ik&}VeNX#Q<)xV&2&z)eup8v791|rCO}GRD!Y8&07>}!3;@E8^8uXNw;%GfJ79N02`D`}7nTnusY|68nc~NV+)fhA7uY*`!7t@qq!R5yj zwA)E$)*L?NStH}7d=1qZo$@qW(b!G~nung3hy*{60lhz@z&nMC1 zrz*9dmZ`u445~@`g~Rm zuEnvjP1Wa9GcnusKA&0_=krC^-V!wc9$2YJ4FnQCpPI&e{w|W1wUiqG%82**HB>>2 z0f5h^219?SrXuZ0?%vt`cCU7-m}Fk|ngA-TpX*<(M-4G^oy`GuA&|NEJ&ZFmCOlLi zeM=lFJWRak8X!r-7MtxjN%-6-^^$P!RDp2s>`6NsTSJorzZompm@|hFJ7uY#CN{H? zUpfFb6h58m?8?GVyiad{H^#HiBsOMl1p_One(uxTa3*JMVxLZpi1+C&Q5<@g2>SEs zJEO#E)Tg&bal&Nn*Qal!5^U-UgD8A@G1X2pn6>bhj)V8r>5mZ&fj{1(d9u^KTkL=a(QWJe(z})wX zASGj=bKlfA$=vsU5qXUJrV;7SeKP|)zL=iwS)MtspG5u^*6GhdM7+~~-bL#8#&wl~(823#h(x3Z2x=#P@?x#E3 z;(WH4(Fw1ui?BXbpH1%nTUe)$f{1#b{d=Up+xzKX<7-vA`{^Sgz<0S$r&p?{xK3C9 z3zO|zTBlDYUYNK?*XiH;b^5IP6Z`ZdZ=F64lIkaWgh?Ln((W@!vgFMA``Xs?Q#dZ2nB9C$OG)(8*_vy4MJhba{XY7=|5Mq7X>vZnE z4`iMG#xhL9)Ys{&L7w6|eKB!PeVsmo$Yb0$jYxm)``=rqi*J;LZO!-~8$QIf4r^BV(%i39G7ARCUF+-p?z2 zU8LEXQ9+UV`DXf*U!)bJh2i^iyYZ57d=6}fl0jLcDXX4kt;v1#Bt_{cP|$AW!tk2h z$C30PO~>9J5%q~Ui=5A>pU$meqMVObyYV-|uwi&hPGmYVJsnNCHBuz`xiKn!0u|2! z#YU>)oC2j#Tb>)i+SIUNBwkK}Pn4mz2du@IP>bKYhdO0MOS*Q6LXQ?;2VK+k*i6;< zVVZi0;_AF-emfqA8T?tNpTuw-O94oOCU5T3 z0>wtELNvJr&q>8J`RQEVZq5paqBTYZLm+p^SsmXRD~}~o1UZkatx18A6c&YMjAHEI<95r zagk-<(9u{dn}9D+F%_LkRnX@MBNxY1(G5bMCdYmjKIWGbxrnit8D)VF1+s4lhHZ;U z<-(Ky1`r7@B|V<}$atd?`9C_B^Rtt_DYc8fDYd5vXOSXo+r}rRZe*XB^7-(IDb4ou zsSt;C=~gPLHS;-&8Pm+w2>NqUHB`W-O^kd4MQicq8t|+(b$C(uA)4HU&?Li#oI))# zm#N#t$YRhHh1b(pAn{rpsIg%~Hk4zeY@N{BWwGL{$R24rF+9h5P3enN_-9p5X&9w78}jYkPzc++Z(iO%AL z!Wg~gT{+U?T$wwXzPe?kuWpSi!kMW^3*|bCv>S8X=y0DL-by3-lTDRcaDeL2rzd;I z^`6yGC_b+#zoT)dsNo99;2dILB>gaR2IFEGkVbL_l;6>~G0H&d#+UTWV0iKZ3{B+1 zJ4uMi8(?lDTj-p0BX)joJ%!J2sJIf@1R64FEhyYOzhR7($O9~EP42Bo!GytYv-y1+ zl2Ma3BWeIcRGG9t6HYaPn=?{FV-bEj*M!e^>iRkhv^3q@hVtH)AE?_#+-Q9GD}^gh0?q(mOosOQ2FHuG0duNQ^G z`;aQqnrRSy>ie*)mxy{7{hpX^gGvl({N|MUtDsQbXie|HkHCEPX|rK3X2NdF&H>EP zW{*~9jy60#du+KnbF5**?2}t&o*cOx6?ZhZioWn^SK=#g(6`Z~c}9%ixNT2DK4mj* zaA3aQ(bKbcS+8AK0n?B1&VmX=E=C^CpFhK>M4qK{8hidNPi~4jPeNnQBsM!JTWh3A z$!6qvi=h^+SAo~ShxoCgzUI2k3P5a;kyOZ3KC)6F=_?`T{X z&)~VSU@(>#Y*rZqcPxY68AtiOVMuh!wh#k095)dIUL^!knEb+|H*Uo%67*gJ-UC|T z%h9HfR(;Td>Cgfnv|tv~f;p_J&Ujf*qN->jL`LwcNH|p0t{3?LtLkc@R<%c~l6K_n z45mx;Y*SO~* zw)`ujP<#Z+$i@IW<8!9@$`&*)7Q8S-?-gd`ytH&OqIF5y%0O8jz5$;{IQo$K1pSB9^U8ww$>cLz92Se!fp4?xIZmjkUJ#g2 zR9{*$p>TG=1p4^8!)EoAl?7@i%vn?uVC?f2R+K5C#R)ko$^pui?=oAhCX2@34d{ z4^%F*&1$lloOYANZ#CP@7PHxrZ%g2jKLzJw5vXhdcr~;9yrihJFC_<2j)gz>A+m z{eV+9p?<*6H=};I=pA?ufDf>32kHlW@_Ez`7=Ca?(y5 zGAOGRo-7ww^7ZF7_VheT6rSvy+tNMRqZ>24*~awWWRC{Ilbz*77X57m-8V!xB|B$n z`jqU^;fyKS#yc}T+2d{-;K?>M4)kVMr27YFn}G3T8$kieDcM;bbQBJf<4*ilHTCqI zMF?>aeii-}18+be;xP-6VQJIe0u`=Otkmq1oUHp?*bm-N%WvTu>$`- z@TU_N-O!kR(O}sSl8Zi*PUXf>zBmY93H;tTeuQrVK9Yd`e&9b$K>rxt5`g;}d6~Nz5a%p$eHrLmU^5@p^RLkHUlz-MIp~jtP3=rZzdV+HJLrFlC1a}cdu@HwgW|48_-LTnUERemq%yH@q| z+^rukz5YBHJ6<8sPlvDDnv8y3Ed46bzX_k1D*x9(KN-F=RsNaq#VIme zjDcQ6>Gku`kRCJNa}j$C#;bJLHY(-^VITV8KL_;7xA*jXrI)84{!O62dS~kLKL+}& z7gCr1UC{si<<#XL3EO((YpKic1^u))QkOpj`tIGS%fAZryAhM6+W)VEz6`NjD*aD> zClj%t>3zg@I9I&cIi+weasImmz;@Z$2VA zO7QqEJD*x(CO=E?FW7j@Yhj!W)GrqafOVFS^GgZ{-ebDLHRc# zzZCh&`saS+H}#YMH1dCm{A-0Ajp^w@*}=sBP2_hc$*1;xjr>Vi%MdN~?`0@V_>n`P z_rQ}okO#H;AjsV?SXH# z2XJ$m9g=B$TH=dubFs3q4?FO+6(^Koni#iB1uPeEp@6pu_)`HN6mWxpI|TfLfbR?Vxqt(Qx>>!)33#S} zb^)gec&UKp0xlHrHUWPs;DZ7tY>W=+Gw^z+<#4z_!2cF-hk*M8JY^WCyI8=cg*^Ry zEr;u>IQ+vk9KKo3;c2BDX8AeHyMjaOWgOlxo5SLZIK1rw4mbEX%$UYuxu|#8RGvP? z&7msrS54;W7kF8}oc-u)AvZn}{}he)r!fv3N^nZqXp^xeeMia5$|;pws^ z99A}Rc#eRhn|S&mk$&Y?o-P*f{HaraU>tY;j7!EFt$EfwhY?#ZET(*OzH!{FK$+23 z65{ytEcxRVC9iJLf>6mkz)&rR74j@t6$;eWD0x-Yp+KHzO5u5-lKCPvzp6fOUVX5# z?7U!^l1HhElDY~duWV5j_;DDjPlPi#aU+F8AlS7jj$yw4`;*yw%FfLA)t8@??q>K&qI@n(_5Q?{_aY0o@2h3~#p!NfC>~@$DdHd+ z7iNjH1T%1QEdFHqb?I)T6lI-26pH|m;z(+{EI*ova9oN%B9Y}~+?hiK=n&<3qBqW! z>8o)yzWWGKYe+V zhzm`k{E|3@C0Xgn;Xqjqs4u@x#DD9Ca)O=L3qk>8If=awC;IYoUDD9ZDY7M@fU(cm;K+;>_!Urt3`Qdoc_rEV?_8_jX!<)cCoH%Z{ZZQ$4P(0*S`Uo`to?BgOU3* zUTTi$N1o~(KbdaF36+nE*;b4cjyCoi?Ps3qTfPGs`tmuVd`^nxUy3Vl6y=Sge0+b! zr(cUJKTeb%C(0XmXknN3>Dna7g`@<)t2VAy41_$S;bCT#5XG z0c9Kq(O+3!!oMO%UtX?La~|MDI-@1|i7Y4K7jfnHJW$LC_lWW}19&<4Ym_Gf{tJ+J z$@b4&UCeT3u4X`SauEHM?Uo3-heYz5Btk%0{=7Im(b6H|Fr3S}S%&yoEb2d25(<1= zlJSX~B8<}aJ6@o5IEN<#l;BVHo3u-0<`UJvYa1_DID$j@8(+V~CxRIyUAntZRtBtv z^t1ZR3`ipVXDmK5dVM4oKLCEttw*u*3}~zLWBSYtc((lC5AEQWr5{n-k zy*?6)KUR_UKNdekk#;>6KU9(SIu@U;NIM*hH^8pC^(c0p0V^f#ram)68HR@o6465` z+KTn0>^wsmp-B6s&&@uL)JZ({MsDbkL_;*W=2DAuFcc?P_socH?74CMqx z&gWSC7)8$0SUg38u?OjEIyObVDg2_$rFoaBe9|M+5{|`5n$ov_&Sv<&{X_O4EkXYj z08jNQ*>0Tm9#`NrP3e_i&Zlbxey*@Ta-KE-Pkd_P_#~6RiSZe(WW|l|-3&iWx%X#o zob?|6jnhQ({}y z2>hP7dM^?9?l}C_0-qBn|9pWr#^GxO-W`WuB=9ri@V5$laU5O~_?kHUa)ECXc-h{S z05p80-^II4>0At3W_wz6@;KXy z8D7eBxuBQVJ6>kFit-cS6ZOLi;Hh4ts8^2fO2Mc2RyX5to!}$;!QEEOFtXlf1iid& zBFFbFftS}=WIsR{)-HJ+M&eHuczGS>T2b#5ftS~5B)$~*MD1D(Jf3Li;|G@}!T$pI zv;=}dO6#ZhP)Di zkB=YdxeBURUeC7(KKC3VM0Hob3Tde)>ROue>iH z<#Y(VypM2};B_s->llmEC4ydFCm$p5j|#lJ-Y@YV2)w*rF7aaqae3tZ0=735ye<-W zdH>@Z!M_ps9O!v`ywS?&1(6OsEcnR#3T&@0^515BKIw^%Pd*m(^1g+X=QKR?trp^L?Di3k$rwA0hjpJqe%JfX_kv(<0`ztYR1NMw!sT4+MXC zKSPfD7%U)2etEw}_QQn&FYgPny|UnUtH2v?ax?DZL>;SuABMQ7SjdCw*zr3-FYg~o zKJN>>ybmMgIdL$TN8aC&^JoUc3#K|y4LtQj{CF)CeB}M8-LO-1{5A=nX9T^xuOqK) z{yho(h-0~&@;;O7w`l?&Jk3qjDY9LQ7@nbGewGP(c^~abA!moc%llVs?=(u%o;_m> z2cF2mbrKp!c|T9`DG+#h-%j?!4GiCx(F#E?@0ZE`-zo6&KBBZsUnk)+9HBF;bDtxZ z;fE^nzMyQ^j|F~Rlbe-MMaiJR%lm+A4?OZ#0#Eu@v$~j3N`LwwqZfsBpo8K2uJ2w< zLjMjV_1|10R{j&L)~ z{R00^68bbl;(k7k;fE^n{;kx93zE=ZD(K~XPN}z=z{~r_QXifXczM56j^n2SFYimA zCFDN~1C&S~rUE}KeS|W)tyoZUFcWxV0(*W1<1ioG3_sn1Uf#!*_WX1#*b>R_O@hCa;fE?sLZ4|}N=Jjh%lq6?pVuVe z(+)i8`4XY$(yqQL_{jU=vi}D`NYYPvA6)tom%uN%!Oi55{dNWL!<63Z5(s11aSfvv z2_3jW@R9oiz7qCot-#Cs_%dF4Tj1sWb!jI@;<^;cDfbOXzo3+sR95=uFQ~4f=fZ14 zN@;DVE>w>v=}Q&Af9jlzX8DT>XV3Bbk@Ci*rcc#nl~w!aS60s}sr0jZT>g^!28A9e zuMC9nOu0SZZdEA5A1rI2lwIMs$;#>%ELbG#DVX79)l8e^xv;=5Q&f#S@2!R1P-C_9 z{|vf+K}oO*O^JDsy;P~HH03#L4l6%#y7JkH%Vcu$6Pwe)PRu4VWF=~|$s(xCrhFSG zGn=d?lTsHzCZ4?)WG0u1^KjX0{KRH9^OJlB=Y{$#4C8dTcrhm)h-VleT>J!2eDf2# z-KHdafF2DC8CXTMLy`)m9VWxi>DMArQgSoTMdzdr)={s>HHYr<#fX%MNc7$Wfo zfP`ddYgEc)1W_T95s(lGAD0(Gn5{M`L_#tM5v?N`0o9T4*>~B{{OEWkBx8dr-~N#3 zseBMb2Q(RnsFcYF_A5hl#FKHbP&*U7odWIj`{`D?zofRdWRX8mRThij_Q-#J(M3}{ zMgEJXO`BaX$3Mq2rKkYL$ou0No(l`7_I<^Lzpj3sA8(k*&$pTER=XqL^N(|C3U`=Mczrw}pGTW{BE|=5g%+Gh2 z95xqbxkFb-nAOB(^Q|saiUCBJe{z2VXcm{_vjR#}`Tyt~6>ckaJX zz7-W#bP$WQrlfNlhv6* zA&1Ll&UZST4iox^Ho92#ed+hpJ`bTM)Jb^DNu|G(y-%gKq-uV^UtNxgQWj`P)?0XK z2^1R&jt(=~EcyCo`2E;F(ytO?-wjk94RM+rX6QB4UoT$buCv(9F0&K=EGGCvyThiR zDAb7g(9S^B{9skUzaX%nx^_|j7L)C%Nmi9q28YY)u-VLZ-6&C2we<*If(z;^OG31T zM_7{HmD46kRFH%Uu+r)z$`8Ac4&X#eUDwZSWc?66l33jw>; zr57x52e3I~ao{aOcDydfZi|_kY%~J%gLT-GMaHqFwt7DHL;3Miq0;(Lpblfh_B17C zZ3a&}rj|M1o^Qg$H{1GXRjp*sUq+OekHuj}S6g81AfwA}fmzlKQjCm@0lgHdvU+~7 z6z|$WpqiK|3b1k}z}Z;!(;!(PC<08W17^{NfD$h{(i>#|^o9OeqC=-vmek=bPDvWyTf2$0 z#R+q{e~a8(2(Nr{S}ZPW-ldPGFxCz z&_Nhdr#;?|2CK@e6_Tl}Hi%7%hyiLLD$^Q&IZ0hpja3^9q!?LU@P>dNn<26C36)j^ ztL7)^O&B4YmHs-_yI6xKU-hY52Z9^M7hX}9lx7DQ*Y#e(&Y&17+y^-7p* z;AA9WHrvp}`7V8#m?4VVmcE==$BThr(Ii_Ay4~j&6;7Gzx8zy!Y`o9lt4ix@YiSl% z!eb@vGE6)>To8$aw_o8EWPPP#!_ik1ib{3%7B6apeKW_jDsdqMt!5KKA&WU5a}Pm> zUO^HULEsgg_6SxTWD9kgnYd6icC#ZAqUblqzVxGj^1=XLr0YVNeitYG%n7?a-vK8{ z64=mOhrW-K%WkvU5t73;<>L)y`T9;md{tMCkh7|+GTF#F;4u;VL7vrOMpT9++lA=lR*3eh^>J5B@o*H@hcz(0D-s#-T(jq literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/tmp_save/_1 b/isim/SpecialCasesCheck_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..863b6708bd76e7533c0e0a521c1e74dc8e991b2e GIT binary patch literal 8136 zcmeHK$!^;)5EX69?!NCU+jKiM&aH=DdhMXV7UI|%fZOBaaAr7`$#1>Up6(3}hMQl9`(ZE{>TdUacT2zXjA+~DHL46LPr)MQ z6qJ;)LzN*xSEbT`b{gy{snKbskQNY)QY1=);nWn7E1UPBS(nhRNIG+C+s=q6{+$FO z23|-&JTlL_cno$afyvU#3CJwHatwAAm_{iQr7c6WFK2ZPCK}76#^`zqxdDV>b)sf5 zCY0~kO)&1emFZS-E?+|>v$yQFP01y5*0(Lzh+%htX_O*S+A=D!b}>rt!bGDWpY;PQUc$3}XuXV|^&^YL&-yVijZ!2^gRz(+sZPri*ho8zL}@UpGh;EDpGG!{ zK8&6v5J8`(Aq|Ldfv6Ik0u`s5eu3pBY&df}U&2mvqO7I2;X3ls6zJ6nyfNCaVEJajhWo+pG#mxvemL0P9e&|tB+81X8ma+NxXCvu z=ro!wzul3J50OsWZ<|iX_c`SR3O^#4yjBjz-{U*+BEfkPEkxV zmPPO?tfvoutuWVq^uxWM;#gLuMeb*GxOiSGeqsyI$_-Cr)p=h(AJzJ-c%o^M^aZ@m zxx%QNXaD25&i_eVyH5NKae2U4)O|*wj)RFp9fvv&bq>@yQ0Ks^7%Q6vxMLp{5j*mI8r-WFZ8tM3>$5dTsM1yN(kVSuG^41VVJ!*wbX2cpbbO zND&C6NQkIHAcVw$3rExgmtMGm1QORs+!03(NI>Zk6yAS#$6imo2l}MhdGnk9o3EXn zo%fsVg_%r-Nz1So*tjGqW4W2JUklwDyN4ZN7nfT*zvp)UZSDU38*uB|^TN}}S)c&&jM^wM_WA3%re_(G9hU?tnhF!5N zqij?xUMyBiW!V{Ea}c&2b_@%+Wp1xvHE za)D%~UNnk@Q%j;(XgNW|&zQy2G`tfSBU$F1C6?Kq&73@XIQJytNp-Pp*$Ey`I_{GV#Cn_u^lk2=L8PZR(zjn!vPAA z8=Aqi?x5cn9!b1GAT%2H8JbIXopgv6Yy9%i>5F(Ix1SX#6#M2*rHNWx4(FJtJCyL@ zo}{EQ@IUXuld)gpO?fx@aC3lUb5X{gpd36Kmt}TKI!dng^%T{k&D)JPC>H&jl#(X< ze=Z5hkEb)rYcbOmogND{g{$%B;&?^IE=i$Mq2fz%eBv_?Bi@LGH6>oIzr?SSqpm6H z_VB{Uux`>y9XBFRnaFMI^O@g$W_vh1)zqGEP5`*HP?p?k0$d>4$` ze>BX;5A1jFr^jgIWe=PJjM-FsJTYH+9z08Yb`&{wUQXc-@nh`fzKoIil@$HEDg28R z{!I$sOW`+D_z8Noa%?0SnVrI4N#R#g_y@$X4ssvM{IeAOjTHU&DSEcNDY~w+(Qtg> zH`YXVox8r<=PP1O6WfCK9oN&kOlvoEJm^Q$ZkP;|@x}Iqv-LK=u&}V)?C?(gY`e+X zw(oM+a|7NJzPswh<;#Q68H!cc55$lZD(Sl(-yDiA-=g4yf9J4G`A64FrE;|r{?QGy zY?@Y?e&7>|V%4xL-84%@ZrWyr{@tbOq{1v(<+5$qy3P&5vaJd|^@%o&lBJjQimjX6 zGD?PN80DfpshD=Nh!j|c(QJdkrXS9KRRmmktFA{2EBb@sRd%k~Z1R_q*N}u$ z1C%7k{|g5GASKD=%?8Imz7ffBUcjKo93_7fkPLdPh2(Dml0p9vCCT3dB!l*0N|JvB uNCrLbHj;k=NQU;0P?G#}Kr*%cFC!ebkNcw3e;sq^aW+d{h&kFvlJ*~EG}0je literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c new file mode 100644 index 0000000..6ec7e28 --- /dev/null +++ b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c @@ -0,0 +1,368 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/TypeCheck.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_0557987184_1272247069_p_0(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(17, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 30); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5104); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 8U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 4944); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_0557987184_1272247069_p_1(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(18, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 22); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5168); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 23U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 4960); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_0557987184_1272247069_p_2(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(23, ng0); + t1 = (t0 + 2288U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)3; + xsi_set_current_line(24, ng0); + t1 = (t0 + 7603); + *((int *)t1) = 7; + t2 = (t0 + 7607); + *((int *)t2) = 0; + t3 = 7; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(27, ng0); + t1 = (t0 + 2288U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t1 = (t0 + 5232); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t7; + xsi_driver_first_trans_fast(t1); + t1 = (t0 + 4976); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(25, ng0); + t5 = (t0 + 2288U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1512U); + t8 = *((char **)t5); + t5 = (t0 + 7603); + t9 = *((int *)t5); + t10 = (t9 - 7); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t7, t15); + t17 = (t0 + 2288U); + t18 = *((char **)t17); + t17 = (t18 + 0); + *((unsigned char *)t17) = t16; + +LAB4: t1 = (t0 + 7603); + t3 = *((int *)t1); + t2 = (t0 + 7607); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 7603); + *((int *)t5) = t3; + goto LAB2; + +} + +static void work_a_0557987184_1272247069_p_3(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(33, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(34, ng0); + t1 = (t0 + 7611); + *((int *)t1) = 22; + t2 = (t0 + 7615); + *((int *)t2) = 0; + t3 = 22; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(37, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t1 = (t0 + 5296); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t7; + xsi_driver_first_trans_fast(t1); + t1 = (t0 + 4992); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(35, ng0); + t5 = (t0 + 2408U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1672U); + t8 = *((char **)t5); + t5 = (t0 + 7611); + t9 = *((int *)t5); + t10 = (t9 - 22); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); + t17 = (t0 + 2408U); + t18 = *((char **)t17); + t17 = (t18 + 0); + *((unsigned char *)t17) = t16; + +LAB4: t1 = (t0 + 7611); + t3 = *((int *)t1); + t2 = (t0 + 7615); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 7611); + *((int *)t5) = t3; + goto LAB2; + +} + +static void work_a_0557987184_1272247069_p_4(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(40, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1992U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 5360); + t7 = (t1 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + *((unsigned char *)t10) = t6; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t11 = (t0 + 5008); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_0557987184_1272247069_p_5(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + +LAB0: xsi_set_current_line(41, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1992U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t5); + t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t6); + t1 = (t0 + 5424); + t8 = (t1 + 56U); + t9 = *((char **)t8); + t10 = (t9 + 56U); + t11 = *((char **)t10); + *((unsigned char *)t11) = t7; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t12 = (t0 + 5024); + *((int *)t12) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_0557987184_1272247069_init() +{ + static char *pe[] = {(void *)work_a_0557987184_1272247069_p_0,(void *)work_a_0557987184_1272247069_p_1,(void *)work_a_0557987184_1272247069_p_2,(void *)work_a_0557987184_1272247069_p_3,(void *)work_a_0557987184_1272247069_p_4,(void *)work_a_0557987184_1272247069_p_5}; + xsi_register_didat("work_a_0557987184_1272247069", "isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat new file mode 100644 index 0000000000000000000000000000000000000000..e2c3b7773c022c5e5aae39dee3101e4562731f4f GIT binary patch literal 4632 zcmeHKOKVd>6h6M|qt>?-!A7g7AbFXZwjidKVhg&E7IY(*+vM7WHf?B9tssctPJe(4 zUkg{_(#OU{x1uZQ4^XJ?T?mR|{l45eX?pvdqM*eOzI$fAIdjgL$=tc^liIQGJ;&Wk z0=!$tr${$=Yo*A&D*iC-#43?-_&ur-nE-3niyQ+>YeWX1AKM_30k3Zq*|bUIAb1fR z07t>Q;28K8B}X;+kIOg-2qu{DCNDz{am{M!8Y7xTu@aIlWaz5)}9WK}pDw1g6r}-mb{%atP z!e0T_^BnZ|WlNP++V#&nHwUV=P_1b^!~h)ap{ z5py*Tf7g?U!}vgDVT>CB`F_j>`ftZWUw2$9l1HC9P>I7jkAS&FNQ^eqAIs#nZ>p9}2Fg9PDhZwAb&+i2Dkzeq@l_zgtRa3i|eB2lM zH==>-Qoflus+)aVFqGaEH!)!cyf45quIg&3%?G`oK4JboIKDD*R96dmUhw=d*Ip#% zdHHPOs7|{W@cb}N7vda+ZGdH8b$t&ZEi3Ta<~q+~->Ubz4H|U?{j_};jytsj%Q4P< z5r}ab+FUX2z_+0)#&T%tT4}5AKh^oYtzI+FIKllR{GN!OSzn#f^$Z4ey_18wt-t1H zy-NPBZ0mc?nyIlyTFs5-g3$G9>=b5@MDB)f`PB7m?i4hVsP@zKX>BQtlR;>WdZ(n| zKnB5l1oN}Tlp)MCFdxDE^ZAvX%tLF~RV^;i)_tO7ZM2$Or&146$Nt-YV+Zta82(Mf zZ#=)x{JW89^5oR`E^`AXm)gBi#iropT4; z-J(}4zs?S2r`OAdy-_brm#ag={SnuRHZ>(%nv=2SgcFM=#&(0~ zFCCwmZ~p6?b7m%aBA4IkcDWddi~W;^J}lMkVIlE`~z?2 z+S<(zy{C=Vy`ghPYbvyyZ3<*gF$^`;bi10h;QGMG3>F-yql-6)O>9d`R>38By2C<;@*J9TB1aeOkX;pVTKN$46Sf=AG*DtshVQ zm12x6yb@aWq6g1M%!5OHt3yXa@BEFir5uCU?9PRwq2tiip^x&_zfk*HXz@%&7Tyb0 zc`CzD^#EL({ENNw0Y0cNJsGM}O84pa>i6mQpGNbm$@~O{xFyF%>=#1kphW-TW;f*2 zp<;W}yxX2+x}9U$5ByI)bpHJL=9jM4B+H(C{OJG7(Cu9E^%M$AE?*`}c4HPdtPULw zopegx0t`z&)mHM2tJ?2{j$Nqa!<|arM&>6lgeZ9)UgwJ`S$=Ht%m4oBfMpTjoc%@aMMFe1J+=^NL>C9%303 z4V#|*n9FE6kAA5+y5a(?D)q{A|9I6ZRti%?g}PNADqF>aCSr4+Ro1LSmWC=1&DIX~ z7tBa9na*a?(M-aO#?rA^A{|L(wNj;2Xn-@2{_^a!)t{d$7WzlVbNwT^TrQnV=%tcX z>z{bIYH|14gXI!Kk7gH-j<1XQ9UdCGEf^lYYx3q`LQ81rU@Q`iMdHzTFg#|Jf<~d? z@a?fUt}gIExVRn}W3EF1*R>lrc#ptBhx|G*7RLJyU!dsoa+VPMS-g+?g8y|t?P>P7 zABACtU+sh#--~zM7ihZOdvNS_=vKwp_jn)l1^(wA^z|)x2Ej{@?h8MCiSCOuFLgh2 zxi75yf-nX;gFdhR7f?t0V`vBRXY4VzWp2$_5${fJ18b zeN3x2YRGgE2GihuC@^hbz0S1SEOt;ms%6%8qtHbgt^h4@yXhGKd=MR$%B;RsW;*QLx4MF}P$Q z`$uF5ZOR{QPzK=YWWQG=3aj`UAv?O`w}Wjp|HHyQvc{URpwizBeRWPyC4(O{$Pwqp zaQ<kRD;!_-q4}0H=J@LnYVSKgD6T+zmW3r$w z3f-TQ+I&F#e9VH$%Vf|OYMfOxb?r7?> zN0Wf~AYaFhBuYAb9@N}XJ%bAy(Rs0geH^Z`+{jhLN!F!}mC7?|{q2-&kjW z=FNaE>K}J7<9i;o@jF6(r6`T>SHPYTyhqF<@wWv3N$?`{Q9gzX{p=MFWr$Pf$0Ox0 zVVDPeitXZI8`~ie4}TNa4t>MB?eqB^=j!g@*m{_F$s|`lLAw|7C!u-08W4U1s`Pi^ zgI)NZE_}KRf1(S2y9@uK3*P|GsZ;*jx^ULz#HSTm)zXr&ROW&QL$hio+022+dP<4) z6k_Ws#Me`ZuBQ-TT7HQCtfN|)sWg~5oZmgD=gr+acaG;K%n5xkpEKE^dc~|+2P*Z3 zRWqGq_8J7o^Wt2?s&mD<)i8^5wHh3e%&E$Z#qjiPj+(J#77o8~P|vc8WmzzXtHi9& z?K9))L^P6($70cVB%R8pQ!z6c0T_=bW8F*=nM^vBNn}&0NFo)D#1bjV26HUcDi1=^ z`zy72!)(+FGj(%+0h-m>T7#$CMd1PglZg~mkxpmgiAXXoC%Li$;Igp^u3zErP86|R z!`k7T<%y#X3a+5I=McXJAqeXG;Uc~jAqeW@AIZdZgdnJo-vRME5Q3mS`Xqh;AqcKa zxb_mSA_PHwJcAQI3~dzTcxEF05<(EYuR9G?Z%`>w=g|DOo1*7d2RFW1$JiG!&5Zxvk4|F$l? z+=V|SaXJ4tBrfNFMsPL%vyy%g@}+tBO>n%)@1a-hmumjIBrfMu5M1?hP|}z4T#&fz z2h!kz(~Z7p-=^~daoXo;4 +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/ZeroCheck.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3496108612141461530_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_1540508602_4151211736_p_0(char *t0) +{ + char *t1; + char *t2; + int t3; + unsigned int t4; + unsigned int t5; + unsigned int t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + char *t13; + +LAB0: xsi_set_current_line(28, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 31); + t4 = (t3 * -1); + t5 = (1U * t4); + t6 = (0 + t5); + t1 = (t2 + t6); + t7 = *((unsigned char *)t1); + t8 = (t0 + 5184); + t9 = (t8 + 56U); + t10 = *((char **)t9); + t11 = (t10 + 56U); + t12 = *((char **)t11); + *((unsigned char *)t12) = t7; + xsi_driver_first_trans_fast(t8); + +LAB2: t13 = (t0 + 5024); + *((int *)t13) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1540508602_4151211736_p_1(char *t0) +{ + char *t1; + char *t2; + int t3; + unsigned int t4; + unsigned int t5; + unsigned int t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + char *t13; + +LAB0: xsi_set_current_line(29, ng0); + +LAB3: t1 = (t0 + 1192U); + t2 = *((char **)t1); + t3 = (31 - 31); + t4 = (t3 * -1); + t5 = (1U * t4); + t6 = (0 + t5); + t1 = (t2 + t6); + t7 = *((unsigned char *)t1); + t8 = (t0 + 5248); + t9 = (t8 + 56U); + t10 = *((char **)t9); + t11 = (t10 + 56U); + t12 = *((char **)t11); + *((unsigned char *)t12) = t7; + xsi_driver_first_trans_fast(t8); + +LAB2: t13 = (t0 + 5040); + *((int *)t13) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1540508602_4151211736_p_2(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(30, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 30); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5312); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 31U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 5056); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1540508602_4151211736_p_3(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(31, ng0); + +LAB3: t1 = (t0 + 1192U); + t2 = *((char **)t1); + t3 = (31 - 30); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5376); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 31U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 5072); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1540508602_4151211736_p_4(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(33, ng0); + +LAB3: t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1672U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3496108612141461530_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 5440); + t7 = (t1 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + *((unsigned char *)t10) = t6; + xsi_driver_first_trans_fast(t1); + +LAB2: t11 = (t0 + 5088); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1540508602_4151211736_p_5(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + unsigned char t4; + char *t5; + unsigned char t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + +LAB0: xsi_set_current_line(38, ng0); + +LAB3: t1 = (t0 + 2312U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t4 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t3); + t1 = (t0 + 2152U); + t5 = *((char **)t1); + t6 = *((unsigned char *)t5); + t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t4, t6); + t1 = (t0 + 5504); + t8 = (t1 + 56U); + t9 = *((char **)t8); + t10 = (t9 + 56U); + t11 = *((char **)t10); + *((unsigned char *)t11) = t7; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t12 = (t0 + 5104); + *((int *)t12) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_1540508602_4151211736_init() +{ + static char *pe[] = {(void *)work_a_1540508602_4151211736_p_0,(void *)work_a_1540508602_4151211736_p_1,(void *)work_a_1540508602_4151211736_p_2,(void *)work_a_1540508602_4151211736_p_3,(void *)work_a_1540508602_4151211736_p_4,(void *)work_a_1540508602_4151211736_p_5}; + xsi_register_didat("work_a_1540508602_4151211736", "isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.didat b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1540508602_4151211736.didat new file mode 100644 index 0000000000000000000000000000000000000000..3b3ece255445ad8a0fab16138161a308ae3f7d78 GIT binary patch literal 5160 zcmeHKO=whC6h8H@wi*@uX)UphBEeN=-presBnz2T0~K6Ibs?fWUNVo)Gk-cSv6E7; zEiMXOblXMgrW@(Lh+v_M(nUciU3XLJvWqUdC>WZ4-+T8=W^P{MTvW`$1K<7LJ-_#! zci)}lyMZ4cUn+;!1oHQ*97R3@?ranJx(h4j+21bG*e!Aw_zO7jnurhV*d?-pc>i^g zS>Vndk-@!~15My#;B(+E@GI~;KsjYNo8^!1!RNB&H@F}94NijV269m30&v;j)FIRW znppoMU^nty#d;rLeUE^%`$WzIKMjbS1XHL}*mLT&F8P%-Vr}4@KnqgVxiVYKXR<jfS_|BIWYq}si>$74 zM9b6sA$W!gBQ>z~y4Cd5%=*g!_pud(>-iqY`f{MNq--uS)Y0@l(EbI$GeqHj{s6ML zwLH`h8GzOa{qF&qhwpj-%B(*_nB~iVw|n)>^Cy5SAr+pL)15rBwjk*10R1U>c@DvN zI5Vf`V}64?6Y$wA&si9cm^`?i5ZElw zw}^Yw~xQDf&fT0xS$JfFh0S)M%zdduW_R>XS5b>tod z*TJe&?xz;_L;tVva11jG)nmno}_ai*dT+e;v83um|=3MpUm$EfG!1t6VI10I<{^aiaMg48Po<;r5 z)uej1Y(4J~imlhX+}3B_*?D7af@>l3dm?iU)UhU6e_!zUMA7wY?m8j}TXa8KyAB7! z7X21-MXi}h_k`l_%VMZd{9o(UJ>okda3X1$~KiM$OU z>t3~&8bP}awY}txJD_JsJ@lI(tL_4t-mTa3r1ox`>fX0v^qW(AUd$ycMtxoQDssd? z3sB)B&klukhoLx;O`qGimHW#7Pbgd?|CZt3A^Z+`2OkH9fn>1}B#YsEBWyLK&|H{{ z3g?%m!@{|XlZA7WlasZIKhbDJt-_V4wQ!~xP0x(nYPxuK;-vF5(VA{b97R!ZBPdnI zN=2_&9UF6~-gfX^cJPgpkFZOJfOZmpFz?{M?C9SPrxKU+ZtiiCgmV#MZiTZ;kwcv< z&~Y#0rBR0x<0Y2^FkYmHcB3wAP-Uz@d9l5W$T*2R|HmM*O_W|P?_?2l|` zX6sri6{2O=xUist`qT%JqAx|H3VpCxMIRLQPbdi52ZdH21QqHzckapFOlDlE9+?ZZ9=6sZ%2@ucwR(Y93#^y*FunP6RA0^_(U+gW;zn1! z`Bn7V(J}71^rzn9&R;y)c5OAI_b}FTS=C#+_}JDfLZtOpEs8z8J(q9lZMuBr)rTNj z>sVfIjpi4_Jm5FYn-4Iaxenn@yl`zyUyJp)6l?KrRE+Db<_(wq7oShw`IsWytD5%xW02D!}#D#SJ|5#kk1tlCQuvNubav5cLRL@D+HhE#QXKm#;9M zzeuF{Wk_#r(wCxo>tIx0(y@#~8E+lzThjY_K0CN=a!KFTb9r?8;^FNg*Hzz8+oe#L z9E7?mr+i1DuC_ygI%9RaK9d}qGb{D-^ibJ0?V*}knKBR?6J|{@7fc0RUTRoV$+Dqk zGip}N=hT#u(Xv`f(+1KxrCP6+9WKX`wZ@E@9GS0_lLy91$pfWQX&{>^R;#9!JZ@SI zF0Y)fRT(N80gA5H|9T%D8hSd>Km6R$or#Q+Q3etzRZFR9EuH8eHLD4|?6`baD!mf| z!#Z;dof&1Jg?MP|=8e%6cu|q#ZwRd)Z~J2LN-WBDKsAGHuv2&|#^UG0#aLf6vM-hh z*J6DDim_-PbUly#V8`(WxET(Qg9O9A1!Q1Fe#5?V*v0$aMh52BcggKyQ`~`T)^Q-_ z`dvUD$BO;(j8mRqitSi#S)-a|PL~zOTyU5I&$rB!3EO6h)xZY2Yf8SesX-IRa0jR< z(~U}b+6%1)@k(Q6#+(KD*@j~(@N9P3PPsBA2ADNt(kjoGBK!YVBw#o^J_cW-4l9V( zv*8ZR;C1V;twDr7mx*r=SBh?{vHd zV=;aTF4*z6(1~?#{sjS4c`(!yp7tSVd)EYA zzP;adTyYDA>%S2$%AeN14$Lq9oQO~ULZ&^Q+Eu9d#UJl=Jtz*whuyV3p7>c{7~eb3 z1rc9?KH1P0jmp=hI`0s-54{U2IE^FU_o0H!`*XV}#@`@EQF_cWcFeOd-uSLp z_Z|Hx`5VAhbH>JC;a$c1$BInkpCulQIu~8o)J?f{;}Zmg7f_e816}^bKS!M!+h}# z?zV`Or`#K1;vvcq>Jk1+?1aDYX7_sj%DH!UaK}d2y`3V^`W>!b$e)bXbqgST2fUUH z;Clo3cmSUX;O7GPCjtD&0KO641Hb%t2XGc}f>SDN&Q!9gwEB++J!8(uve}dCI%U>V z(bjWVH$-|pg%ndphE%+*6RbX4cbG9ea%gXH#5lBn|5)jWaiq9+q-3xKyKY$K$-3>B zmf;?oI}jYVEAx(Nb4S~BjLN)a!4b)ruFskbPt(R>Bb6P5!>gJb9AtIVG+_sos z-nbj!yMcyz%ImO0;tA*@{oR6lbxM-ItYb=C);SxXb6)b7byg)V>--d;b4&7nYOgN5qv literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.c b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.c new file mode 100644 index 0000000..dcd5fac --- /dev/null +++ b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.c @@ -0,0 +1,31 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif + + + + +extern void work_a_1684417184_3395701438_init() +{ + xsi_register_didat("work_a_1684417184_3395701438", "isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.didat"); +} diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.didat b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_1684417184_3395701438.didat new file mode 100644 index 0000000000000000000000000000000000000000..b76d2a93fc157c6ff0fb194f32f3d11dfa01820e GIT binary patch literal 3668 zcmeH|J!lhQ7{?#K6|GoY1eHcy#3A>c4-r(-fW_j{f*{Iq-W^=1O=~V{Du{#Kbm-tB zWOUNSNkkkvIJgKM92^vv4lZsEf@1tXxqFj0*Ff53 zTqQ_r7e9&4g2k;wO^Kgz-`qwtxr1m4ya(UGk)5#JPP7WHpznc);3N13P9W}Sa2^C; z2{ZxA7t9Zm-LO3r+Y8(Ay+r+Jju5>DZ(^qR6EOkmUj=WGZyY=VPr%9^q7NVgo&y%v z36f*h%Xzo7J-QDbGuW1PE5@5?IXe3f5|Lw&-6iY;ew~;PBnOUxBkt7Bc4Ev&Ao}QT zNLsN(>u9yu8An98GIRsn2SU!Evri2EaLf50176p^AY9KU5beu>PD#<2DAUpOc6k07 zz!h!_uV)m>$gej}26Ie5Vf)WO#NqQTLmBN)2BZG+>t3&(;~d08yrz7vcT>i>AjRp; zG93L+JHU5}k%jMN5_x{u@4o}deH7ngZiUAS7|HtR8z5$T=Y;lU!iT>fvdHm-{#C+f zJ++OY7&+E@YCD$j;ct>Gay+3wp70U+jkL>H&^xdqElmF3g1K2=nY`&b%EVMznJAab zdCNTKd45fqn)ls`bG_t*epm{8cP4u)NaGPkaa@k$avWNtacXWr72o&md0V%Nx~i$U zVlhQ^jTAl$DSRqn)v2cPyW`j9QaCnffuf|2Z4@=rRCV1l=wgX(&(&sZS!wGzy?`yW zQtlupYFFvlnq{h%TF9w7UG8M+Ds5AzK`Z>>>qv3enQ6!J%4@YfcHITzwU%Qth>BFWC*h! zcPXE6ij>1~FkE+-b*EY`TNSHXX10BIqf)fWc9jmiffEs=usIY$TJ`!pv(S3{WYsLw zGOd`UqE#x|mTeZc`M_*DQG&0P>{ZZp6~)0Y-fRn@Vl<981=(w1 zR+Ce&i<&T4DaL@duL(~Hs(b_J}CVd_LI*cQBHu(%K0sd!uy z_7+&gGa{DenRGO(?{ypUxaPmsTTqMpm*7&z3lTh0LGY!1tie%(E3N(`CSlx2%ygD@ z(sm((esRi3oG&7#8ZPUkeF}N$tJEKAp!ho17xfDY2i+49>$>FmCEW!_?+NB=O9?~v z8T)?@E?F<}OMvOU!^(mXdWeBCbp06X+L3OaNs3%ia1lb(pzn{G()NERp5KFHndz+W zKTT*3@)pVWQw@&8OlrqaN177E)9x_h;*i=VnLpm_oM}QUg1gi`5c_g`LNSIp`CgWC+n|&0OpOnh_F_tyS3S2 z-DY#AvCDQhw>k|*CZWdy{>lp@9c&;8z5WokEV}n*v9^ H`kej(GaIHo literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.c b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.c new file mode 100644 index 0000000..0a0ee25 --- /dev/null +++ b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.c @@ -0,0 +1,180 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/EqualCheck.vhd"; +extern char *IEEE_P_2592010699; + +char *ieee_p_2592010699_sub_16439989833707593767_503743352(char *, char *, char *, char *, char *, char *); +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_2347761600_1146481140_p_0(char *t0) +{ + char t1[16]; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(15, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 5352U); + t4 = (t0 + 1192U); + t5 = *((char **)t4); + t4 = (t0 + 5368U); + t6 = ieee_p_2592010699_sub_16439989833707593767_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); + t7 = (t1 + 12U); + t8 = *((unsigned int *)t7); + t9 = (1U * t8); + t10 = (31U != t9); + if (t10 == 1) + goto LAB5; + +LAB6: t11 = (t0 + 3568); + t12 = (t11 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t6, 31U); + xsi_driver_first_trans_fast(t11); + +LAB2: t16 = (t0 + 3472); + *((int *)t16) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(31U, t9, 0); + goto LAB6; + +} + +static void work_a_2347761600_1146481140_p_1(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(20, ng0); + t1 = (t0 + 1928U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(21, ng0); + t1 = (t0 + 5506); + *((int *)t1) = 30; + t2 = (t0 + 5510); + *((int *)t2) = 0; + t3 = 30; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(24, ng0); + t1 = (t0 + 1928U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t15 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t7); + t1 = (t0 + 3632); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t15; + xsi_driver_first_trans_fast_port(t1); + t1 = (t0 + 3488); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(22, ng0); + t5 = (t0 + 1928U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1512U); + t8 = *((char **)t5); + t5 = (t0 + 5506); + t9 = *((int *)t5); + t10 = (t9 - 30); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); + t17 = (t0 + 1928U); + t18 = *((char **)t17); + t17 = (t18 + 0); + *((unsigned char *)t17) = t16; + +LAB4: t1 = (t0 + 5506); + t3 = *((int *)t1); + t2 = (t0 + 5510); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 5506); + *((int *)t5) = t3; + goto LAB2; + +} + + +extern void work_a_2347761600_1146481140_init() +{ + static char *pe[] = {(void *)work_a_2347761600_1146481140_p_0,(void *)work_a_2347761600_1146481140_p_1}; + xsi_register_didat("work_a_2347761600_1146481140", "isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.didat b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.didat new file mode 100644 index 0000000000000000000000000000000000000000..bf33943bc8afe5a4f7eeafb1a3dd5c09a6688743 GIT binary patch literal 3488 zcmeHJJ8KkC6h3NvVlYY+L85{d7P60IcJru=vS1*Ekd(?enYplI-0ZqL8zU+Trm*u5 zq(~_i7NU(<2sZwJlnNFK79tjQit+nq=j={4Ys`R(5f6O#+a6vt7gj7P>?N*q>p(1dN00CGZC5-6?Vc zuz*S625=XkEJb@ZR-MPsi0O*rHR9qf5k(R6+YuIbV^83HgvD+VqKNw60*}FU9`$+9 z^T2WBodlM^-vv#fP0_xlUH7Hl6lSyrt_ie4(5i5*Hfps|E8_l6bA+zihqiXn+;%(H z^x?Qd=|dlITusg=k)4WhS|ei=%B`BQNn|+^=8G&ZbHuTP{4Vqi4Ur5%-h*Y>gj3LL_2$bq-j|>q5lPds~igR55d^Z!}T#>EuK&CD-X~9EO@9zj)r5W z;oGg1R@T#p0Y9lwSo?LX8}&%@!^nFYSgW3M$m8AkmBRXnhZy!i-+@+}>h-F$$q!@npQ-C@yJZn98{>;^P4->wFD)g~GuR+kbUk#4~73Yj|Jc47N z68s}tzm?xf(a&OUC=F}N9`?6`Jq*U6caFUAzN-I6T6vjAoQeP?e(d+HoVrgujN@h_+T)m9rXQ|jne^r$N3KM-R%YT0|$VEKrQg>THwrhPSuliv0O6g z@w)4z$0iEtu|lCRn6pMb&s5WeD|P4cnWAy0Q?tcHp{-15O-HM4QG8>JU9tOf!~L0T zCO1|X||_KF=ggViXm6a)#9%%nWua{|`;9l!)P-~a#s literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..0df65cb94adbd39115d33e63860f472d40988b6a GIT binary patch literal 4144 zcmbuCU5pb|6o7Br1u9~91=Lj#n%Iz{GM#q%YtZcMQg${e?1t`YjKE~Jouw0Y+jV9Z zS1_7bAlnQz#7H8>2TXh*$}?{K!?*+<;ejWgd@>98fH5&^MAvg>?q!E*i$+f}bMN`? zx#ygFI&*vHoqS=p-{&JteB>pvqA^NHrE8_%XLtL^deTj#`p-eBnL;8pU&LmyrC+}s zTo7Lt$HdXG;!xvm!LrQ@jo&V9WcEYNk0Wzyz=svMLi{-N*vim_$h)9j&66#__B1a< zPJ$|ej&gf1TK7WF32X(rFY=PL3dbZXYr~=D*AYI1`YTz<+LxX4+gQAMJaX<4Vz2kL z1LA)1fOv2Ly*}}4AmH@sHMX)VtHJxaO$kep~)LH{cuc?6GAeRNypPXFURA_ zbTSKfo-1o*#dQ3|Dzzy!R+uR%v7urd_2JmaUmStr(&HM!;6A^vpqsc6x&^)o>C}Cc0xBvxgTGs{!q_*{{B#JGteIjpXw4r(UYsh5MN*I zKf5**6+>Yd1DpO(P<$B7BXH4@kZb6t7~)U)PX_8;{?FD@8^nY&-kdU(acE85X)CO! ztCI?6s#tnmTdJPpck8|1k)i|g)$2Nc-H(4twlU}IT7%$bPrqn99uhvYJ zgIew|Or>;~PEafIgsx1fcAEe976u1c#?>B2eN_M5vuU}uE8Aid+`SA3&cid0vP9?i zKp(q7gdn)FplpK+c>ooc?DV@7SJxZsc^E^V|8;&G=3@K=TyE*3@sGJ7^jZFNS)>nG zyZK+y`A>W1vw5r?rSrY{|48R!-Q42UhhflL6SO~v8y^VoGz90t|G>TcS^YI&n2R_5 z9U7ml7ffvN>Sdtq;$NrnSsaZ25L|3N<8J}O_$*K8+OkCBa}3ay)s3|$fU~~abenfU zp83r7BRZdkW0*wg{15LDr^E7R_zZN~t$&fOU!sP%etad^e762afwfEZI|@Ig^I79v zE9&0d(RPsrx=sf%&U(1K@$oll7k?f%OORWhfF9K`YI8@sF7nHAn<0qT-bZxKH`)H^4E+-vi|txco2@wKeF|)OLaHv!7UJfYnW+_RmtgYjhqq z0hfOl298y3l>k}S3V{UdC*}Sjd{0T6^;T`}-MwuHe&~B&nbU65MB{HpYsdzH=!1IwjEyqdq z5dTj`JmCrh_AUNkQ?06*L>?>*_lpI2c=zsNepDV6`wMxQ%o>`ktA{khRCU=o>fMLn zh*+92Rl_zkR8ua^=sFxL~ZK0tLQmY(b9CA>$hyZ0|@LUHmD^(H`GAW{)R(6x_k!>*^j)BLqQv+y$8Z074M7 z$Im6k433O;b$qwo#zR-n4eEv_V^#f_`Hih>Eb`QxH}(wZ&AGd1MpsJYA02Dw btbzYJBNT*bi$3sTlBFHSnV(q?XL0`qH8Fj~ literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.c b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.c new file mode 100644 index 0000000..787d2f9 --- /dev/null +++ b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.c @@ -0,0 +1,221 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/NaNCheck.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_3914402253_2628201599_p_0(char *t0) +{ + char *t1; + char *t2; + int t3; + unsigned int t4; + unsigned int t5; + unsigned int t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + char *t13; + +LAB0: xsi_set_current_line(32, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 31); + t4 = (t3 * -1); + t5 = (1U * t4); + t6 = (0 + t5); + t1 = (t2 + t6); + t7 = *((unsigned char *)t1); + t8 = (t0 + 4392); + t9 = (t8 + 56U); + t10 = *((char **)t9); + t11 = (t10 + 56U); + t12 = *((char **)t11); + *((unsigned char *)t12) = t7; + xsi_driver_first_trans_fast(t8); + +LAB2: t13 = (t0 + 4280); + *((int *)t13) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_3914402253_2628201599_p_1(char *t0) +{ + char *t1; + char *t2; + int t3; + unsigned int t4; + unsigned int t5; + unsigned int t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + char *t13; + +LAB0: xsi_set_current_line(33, ng0); + +LAB3: t1 = (t0 + 1192U); + t2 = *((char **)t1); + t3 = (31 - 31); + t4 = (t3 * -1); + t5 = (1U * t4); + t6 = (0 + t5); + t1 = (t2 + t6); + t7 = *((unsigned char *)t1); + t8 = (t0 + 4456); + t9 = (t8 + 56U); + t10 = *((char **)t9); + t11 = (t10 + 56U); + t12 = *((char **)t11); + *((unsigned char *)t12) = t7; + xsi_driver_first_trans_fast(t8); + +LAB2: t13 = (t0 + 4296); + *((int *)t13) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_3914402253_2628201599_p_2(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + unsigned char t8; + char *t9; + unsigned char t10; + unsigned char t11; + char *t12; + unsigned char t13; + unsigned char t14; + char *t15; + unsigned char t16; + unsigned char t17; + unsigned char t18; + unsigned char t19; + char *t20; + unsigned char t21; + char *t22; + unsigned char t23; + unsigned char t24; + unsigned char t25; + char *t26; + unsigned char t27; + unsigned char t28; + char *t29; + unsigned char t30; + unsigned char t31; + unsigned char t32; + char *t33; + char *t34; + char *t35; + char *t36; + char *t37; + +LAB0: xsi_set_current_line(35, ng0); + +LAB3: t1 = (t0 + 1512U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1992U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 1672U); + t7 = *((char **)t1); + t8 = *((unsigned char *)t7); + t1 = (t0 + 1832U); + t9 = *((char **)t1); + t10 = *((unsigned char *)t9); + t11 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t10); + t1 = (t0 + 2152U); + t12 = *((char **)t1); + t13 = *((unsigned char *)t12); + t14 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t11, t13); + t1 = (t0 + 2312U); + t15 = *((char **)t1); + t16 = *((unsigned char *)t15); + t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); + t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t14, t17); + t19 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t18); + t1 = (t0 + 1672U); + t20 = *((char **)t1); + t21 = *((unsigned char *)t20); + t1 = (t0 + 1832U); + t22 = *((char **)t1); + t23 = *((unsigned char *)t22); + t24 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t23); + t25 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t24); + t1 = (t0 + 2152U); + t26 = *((char **)t1); + t27 = *((unsigned char *)t26); + t28 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t25, t27); + t1 = (t0 + 2312U); + t29 = *((char **)t1); + t30 = *((unsigned char *)t29); + t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t28, t30); + t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t19, t31); + t1 = (t0 + 4520); + t33 = (t1 + 56U); + t34 = *((char **)t33); + t35 = (t34 + 56U); + t36 = *((char **)t35); + *((unsigned char *)t36) = t32; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t37 = (t0 + 4312); + *((int *)t37) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_3914402253_2628201599_init() +{ + static char *pe[] = {(void *)work_a_3914402253_2628201599_p_0,(void *)work_a_3914402253_2628201599_p_1,(void *)work_a_3914402253_2628201599_p_2}; + xsi_register_didat("work_a_3914402253_2628201599", "isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.didat b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.didat new file mode 100644 index 0000000000000000000000000000000000000000..367763ff4784ce124e85e59bd24422b5a9b36f4a GIT binary patch literal 5044 zcmeHKOK1~o6h3NwT@}S=LB*(daaT<`Nt&js)*3;4fT-ZY%P=P6&7h67X*B`Cjd(Y1 zx^m;fwFo}Yh2Wwa-Cej#K?N5IBI3r4pqTr8lR0(rJ83e#3NCu+H|PB4@%?B1$F#k* zX6Ey;wDYGx-Yn-+WFK&4vB-@Oz65q=iO4nN{R?bdAuIOTUS{ z2YOZ^2RI0v0BXQZ;5I<{gq%*&i`+kge9b+!Rzz_U_Spch*Q2iuBHIEyfF{c5|26Oo z^Ibu|H=rK?uhxjX1yaBaK%q}zj_KEVsTcVb-yR$jd@Z%Zx+m~cE5h+88>!#TL!T*H zYx+56jPp^>V-N8&%Q@|Pn-At`jLSh=$2=Zt!;B@3K*%rBXz)pX_GANPKxF`ivE3T_ z-GCJ+6I08rlv^X~)7!~I&sF+i*0yD5Sxo<2*Hg_ z8vp&K+wkn^z*9!urhtdF*k?)o+sN=eLDo`t@6A`gvz5rk{6^V)}nJe{ z`^B~4-yU(!wZ1Rcn-~rN)gtP-iEw<1@sL?yFbx}3F zJ`RpkfCs4P-QbwL?m}#95PSstDe#Yxuj|kJtB7q^vY(_E&Pby%pEJV$Ybe~~1h5m> z4OAyfc6HLJl$?o@B+KW<+~mQjqLbWzw2<6iC=_zp%t)!^P9zUIheyk9@l4-^a*RZ+ z5;aC9ie>R!*R{uOE1S1c{i%U`zWbSL-KW;OPxY!5r_z0TEnc=)IUR$$>ird?m3pjJ z>akj>$7`h`?1eKpb}F0A9gBhv$$3`xmn>b^K19rcav#d-G```WQw3(y+Bj*@O zTirJ_lupM<&f>~N%8tOx?`Q(HIgTuvx6`Y7W67iAZqakjjyhGh>aU+ow|&Yj_qjE< jk15==q+_S^{h3V4va)I08n6a&X|wr!U&$*uliI?6cH02L literal 0 HcmV?d00001 diff --git a/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.lin64.o b/isim/SpecialCasesCheck_isim_beh.exe.sim/work/a_3914402253_2628201599.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..11a5af5e5218ccc32d0e10d38dd43f4e9dbd9983 GIT binary patch literal 4352 zcmbtXU1%It6uz5Hn`rB9TD7gV;z}1u!A@pp{}m-^y3GWJG$a*41JlXww7WFP#@$Ix zrP7BONgUS})CYa?!9o#ybQPrd(1JyL$dg20tS?f~hYD2$m3q#ddp36`JB{dpJNKUN zeCM2d&&=L?_d>xq))fjdk`Q~2ZD@otw$i;Z9^}J8wwpznzVu74-bf>&H=f1r)~4~& z_1QsD9U!94s8>^-GO#?=^j8!Lo+gLpx{{y1v`s&}h%L9FRuocE#zR|;2wArV- z%eS`SNY&lPcn0?wo@wQ1JZdy9uWV<`XnfKS>`}LX9;0q|oYOs?(fG97i^e_C=I&_o zw!YyXF&bBU??YRU=HNZpik)ZN=Y z34boVarMeBsHpowPIo7AD_wl4UoEfqG5&URcPhS5cW2@;!!5=84H&70>%?=0dp zFJ0HKP3o(EQazt&)wA(Mna3T0xP#E%xdQJM=Cab~Wmvi)GQ89lASMOqZx7Jx3-Ea> z0KO$wsaIwPCg<&9#hMwm>UMp&Y!}a%2%FP(S+N&w1u3soYi9;5Gnr4MQmUq4CbV%$wV^NKVg?*y5)HIVJ&$GB0eOdhF+aup~b$? z{+&I&m*5A5_yJ+-$Np%fuNdiNaTulq{xbgXrX%AQyWS2ry5HLN#`dM2@K^{~jO~Lq zC3r*F4@LTxx-PVAivc#K)X&X2)-?1^&FjlFs@XG^;@FE0Q=q;UQ>N>6rqrsaAU&bv z+SLT8=)(yxQf8_}YsL@Os)QG-v$OUbgrBQAwgNRgTz9PE8R1};%~LgN))q7We_agR zv3#%%w8@~*_II_xOxn)4VLv?m7#h|d;AS>2{Nd~7dGMW};Cn%N5*p?Yqaw+aFE5$= zxt;<8#{94T3Gl`I8Z=o>iu~tf5XLlr^g$Vf*2(`n;m`M6Bnlxv>Q4ZUWB>dKpgNI1 z)=`$@p!jQo_CZ0^1sPS?<6HBmxD~)U<=-bJMC%n{TK=S$V9+W5ipWoSP=%wSZXH={P)EYhCDOF-x1>9`*1XerM!a9yRa0$9p5Rdt#O!tK`oUJ z-sI2Cb-2iJ|E})&hM9P|p30x^!gDvwpM>hs1VDVsWa9ld0r(FA_-_ID{gAR#`~v~_ zcmV!-0RCA3z8-+HfUA#EWb?L?*3{fRZ%F36sj<1!>QaqHZHJ*nDvEeGnvZe(y0tEve{fRrKXdbnO2k8R5F>? z?%|WopTvw@9ISUItRjJh-)2Uf=b@P-3 z!})5>;Y$o4@b4MVMiksG@q7I1t|t)$K@i71lyKZjQE+E^7#iV^A_#(f{1p@aID#O^ z-vf>CaRfn-kLLs7FCqwn{Jqc!UqBE9_ifz62!9Ph5ad4sjqu9|f*_7_Bm63YAb$K? z59T=TnZ*A|!sR%>OSqhO7yQ0ZFuoioCgF0NL;!vy0H2U>Id56Q@qYu&|3iWM>-@3A zm+SnsgyS8K;`|s8=Vys8$Jv1iL9h;T91g+*-H<}(2Awm6(>X(XB;m9_%W?1&pzkRr a3>aHXfg?E|PH|59aLSAKGZczL^ZWrmLQ8^(URXcg$4 zl^1^S)7l$KoEa&5@56@ikx!q>_CQKDOPs#QhL@b919pL$wyWG9+=e64eF-|XKZGQZaZ5R+n6 zF*hNvB)N6v71|XWP;NJ;?QqAHI|;X!+oE!x!R_ZX2=}&fd*gcUVQ#DPN^m5;Go*GC z)Hd{m%tv!>F{I}-U3~o=)inTZT&pB=U?FLlKdG*DXkQ>{E%{A7UyWy|gEPHb8pLuoO__ChQ apPf2nmTxwLT$06R4(nYY{a|$KQ|A{fKpJ`g delta 1187 zcmcJNKWGzC9LF#3E_atE(L^s%NUX7Ouvj5#7TYG)64c@RGgOdbogAuCP+SC~iMS}1 z68v>=2ol6eGAQRz2Qf?0p@M>gLlKcKLInkvi) z-+mbHd>>!`*gh6ABl|^gGW02Ao|}6?6|-VBws%`Jz4GI&c{gO!lyxX<*9>EXE?Rk7 zv~nRk_-XAG@sYl6>wVZTKJsl-$r(z@$}*=fvcg-=(g7z=ZO2n?m#bl0y074-IOSx8 z-ShOu$xzYFD!0JZqH-r(-M7qX6z-JjyWr}+K39(`_cPpaPN(5k>8tyDhDokoQts7= z?t6pN4BTsUJ(8iNh@RmdSMMt~-lN?%r$=z>sxQx=U)pR>CE4QR3x|&*Z$8ot?T+Rt z7WLwCCBaosuF$UNkaBxD^}%f@w+VNEs|DpggFDEn4EK(52V%PKVXpdeB{&k>DbzGU zb=^K;jt&c&OY|!)fr+Hf!{8)13wFR|aPx+K^JrO61-t+@!I$7SFt<_rK6n{?zIePjoHG9Q0IFsuYF7>lIoMVVS6^f9g}=D1+md_#%q#sGw{?I1 a?9?T*e6wlfqAYCUu+|OI52m*~b$ ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=1000 ms, 658120 KB +Fuse Resource Usage=980 ms, 657936 KB -Total Signals=48 -Total Nets=239 -Total Blocks=8 -Total Processes=26 +Total Signals=15 +Total Nets=59 +Total Blocks=3 +Total Processes=9 Total Simulation Time=1 us -Simulation Resource Usage=0.04 sec, 271904 KB +Simulation Resource Usage=0.03 sec, 271896 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/isim/precompiled.exe.sim/ieee/p_2592010699.didat b/isim/precompiled.exe.sim/ieee/p_2592010699.didat index a966d3d06fbec44f17c408654354c3e5708390e6..2379d29d4c4354622fc166fda3fc2cf2192e800a 100644 GIT binary patch delta 165 zcmaE(`$m^-74L!HuVOc{nQ<8>)W|S0F)%RjF)}b52$o=E2C~I~><5Vwj4VL50+7v6 zAi>BAq_jY4?Bpk3U{stufy;%*=fStizx50ZCO~@f11=d<0S<070Rwb_2sDAsADMC( J7r)`*0syupIRpRz delta 166 zcmaE(`$m^-74L!H5&Rq3%(zS@T+L=;Vqjq4V`N|`Sd-4g3}lM|*%Nl9GqC{K3PART z6X{H>K(-c;{b70@)8vbc3X>;rx$wxmTloBMJp%&^ke>X2O9oYdgBwl209_ygO=h!=l diff --git a/isim/temp/adder.vdb b/isim/temp/adder.vdb new file mode 100644 index 0000000000000000000000000000000000000000..31620f816047cbbf92270fa6268f06a0f44e8395 GIT binary patch literal 5106 zcmb`L%WGU!9LFc7woyqRA=;>DBejxld|>OVL^IfwOeq}@-H6J_C?=!y4-kqcW*`%q zv=k|{2-akRlm=mNXBQF$cXj7VYi-rN;M;=K@8|UXZhmufr|F_UI61%f>z?zwchdLH zw(j+X!p8-{FYj*a?s@!`*I$L`;?H2Rz<>SX{JEWl*6rZ8X&swwG>2#F^YvRrEHtL3 z!lmb~Ol-fr`|Fnrh2^V)9TH3(IlB?z=HB>s`_RsTfr0+sfyerL!{nK*y^YzqBfWTt z@viNyZb$Etvs*;Nn`+MYXxm>c?k^W>_CZvrF^yBV(g` zD+fpR*T$dt_RG)S+Em#mf$I45A`UGeG|Ob3hDX6=C4oLGNhI0Ch~PEI<3P3YhV6+; zn-|NK{nV*bCroEvk*pnQV-4ACTI3J&khJND<+cQ}rwg&*KT)8*+9A z9c5ycM@QbQR*PdEkD(;Xi~N-MM5dqk(5Wlb4o-}hsw-Gt4m28gPz(Dzv|~>$%`{g!o2KCtnox#tEN_-sEpM{pQoC0r$29YG&x8EQ!Vl8(%YpVxYOo1Y^DHx9w3=a-Z?h!S5HqXKCxzKppiES=? z>@J&GC`JKEJRt2&+Fe2|aNj3?*A2H>fF99?0C6vzNw# z7vWza9LnH??QQ-;8eW>I|F4WVE%on=fCFYDjEf7fX(%k;t(}IYpz)G2gTvdrV{zCC z&6>#OjomxQX7QTr?~#t_M;{{6&e9-&Ys1qrW!f;^ct1=JH|tA{s~HlDEOfA5Y8>^} zkXcJ;1xRC)Y(4(*6Z8H&Gx1Q=O09##qZ90^j!93gOca1aLhf?>;Bb0sMw{1}%K^^zYSfafHSTVb#<3LOj%QpMCtKZ6P>UhI`}HM;>=xBX!Sx zfEd(tyb;$q_obt6E)&9nCP=4LS2e*Wk(;qS4=X%IP!OJnHEW9^Z`H~Iy0HIDWbE*G zGx}kVm`^g}={fNAm~0{YmG9&DFR+o2#&e(bK|lzwWQ3{k}{gM8ScHI z7ZYsh!zn9>3BIH#Sz+7MM8SaU2SwmEh2WSvy(>R^?3V_bSkTqM1cxSRqdm?QFn(}2 zHnEtju~+JJ&dnjV0P}|P1WojiFm2sbOZy}<}>fNy=lEZYxG z&*uvT2u{91^g?5%(L7qWFDiz%ncJXVCpFwc6T$$G_M7xs3240O1c$FR@XUsjW4O16 zm-nG%x|WlF@t91e5wZi1dN|@{ixN1W=+qLN9KFf<%-r;_UhVO-KnwsU48^Py?)!^k z(h!pehp*KTv!Nk|d;3leFaX{8WTQL9v|SYtD2Y9`hMYe5l((NT_BdH2YjcV)AMtSgYz@h8HB~^++uBR zdOE3mI`!JNxgRe+nai#HD>@+M^r^GEWN-+B?tJ*&KX9P0udg@XcSmnNsVrZcpPOGe zmESMp%k)6XzuI0OgM35*(Sc1bR<~;yEf+?|3zHKw-z?33*#G4TGwG17SS$|j(s*{I zS{)i0ey}t)G&(tP_`5GYd7-OxObX5CqYDHK6OA&M>EKNUBkH({xL%_xB-VokHnLYec4saLA1577&Q&FnIqGQ)2Y8yl>HHSyxDXq%B(fBMH{DVsp3a!RuCsFX46P z|M60rLp1s~K0Ne%xm+0Wd{9caRpx^+hHje^<=pouly!*wdz;vY& z$9K!7T$&syPOaZ!bOGP8vwPj~S4amPl#$2lsC?zmsu)q5t+!#sXP#D@=@Vz$sy6eX z2II4}8J^~CQk(6n)J6>br#9*_J&RnKd23;IP)FJp87C%a+X@+Cf-K-kF*M)wiQ`*M z(0rJn@!1I)PEM#<^KHx4E$X$gv8XLkY}0Q#LA!!vnBF?9aJe)*RXA3B!1I=bdxCra zXFUV*J1m9R(3r-1;+!;C-R-Oz?*+5ECt8uW)jjZSjI6hJ$iIHt*ExUgzl!$vMSGgE z*z%m4*U{+3S$?y!Fk49(+c4ZsSnKv(D@5y^8b?dR<>IqL$45$IBU$-v`9N3e8rh>! zU0OS)k$wtNeamr>kdkiZ)T$RflsJ&u!iDc|5`BNHJtPIOF*cqOR=7?YzbxktAozsD4Z$P_y2dFJ$7i=TTl!3Q{Y7HI zEa1mVLdB0MqG2I80S(-=VlxO8@9X?2R(vuWEJV}~6`PU-#&1=z*;sW{oFyRiJ7Y%~ z!T8?ok2(#(M%yICFxQJF4p>0mLQCHC4}gYGM$#oNblA)GCzW*Jb^W)B{6I!_QG?^i z@s>l1Cyv*`<+l2KuvomojL*Ko3|FW?{tfny^P`scGV+p>?W399YB6bE+^vLVdiI7P z7a_TWn4aN@<6BM7e3+i`+36WhJ}5gq)7$jC%=Aq5(=)8e^z79bQt5}QF;N)9S^9>L z7+PiqE+*}=*QUkenxtKZ6WjP!?J_&sWqh_>hLaES?Lwv|do>5WezwJ{goo_h2jMgIZfsd$?J literal 0 HcmV?d00001 diff --git a/isim/work/adder.vdb b/isim/work/adder.vdb new file mode 100644 index 0000000000000000000000000000000000000000..4e5a7b097ff2f8d6f31813920ddeccd5843ed03c GIT binary patch literal 5356 zcmb`L+iP4^6vihe+Eh(%RvRz1t+mp=c!Abii6+>DOsE+UeGw`nqnM21A0QNW%|NEq zv=k{c2-Zx3lm;RAW*(#pzUiA!T5F>|`676?BK7<2oOQb9fBxi)#XY5k8{xY&4%VtKU9Y*-oR|og zp1eH1b7|k_&zDN)uZVU@F>&nNI+PoF;@_RS_w@GmZtm%QXmd|Ea&}8k^X;i)Jw%A9 zmgj{|x8AQ{*J+JxVs@rm(@1S#q%u$+YkWC3`N4gkJ!2*SDwoTH>olL6pPA_&9z0MT z?H{R+J^aHHcQwN?ZIdqx5znIwqhEvzv%7ukRno9XH4 zQ)V-xOxBLHvBqpLEAS(HUDkBOu+78l??5g3S1VXw?QX5JUUPWuy%w*vGQ^mimBId( zYqf!4&&O2KZ9Xh<=Ry)4+D*c?$yBmCe8SRW%le;-(0H|jS0goBV?0VEQ((Wo9qDDO^4e>aX?Z8;y>v62l8vJT5P01Q7H6PUiXGb$KUbP)nYW+9ji2*wA;C+CP7y_n}<=Ui;u?c}x)K6i&*R~SYG zAa=-ly=Dh!0p9n?pLOHyF2If*vRiRDc=*Pn6iK*6!%rc7t zPx9bN@8W4mnLO)T66>2aN0|N9O8?X2W7&1q_Yq~fJ@KVqvDSWyUA`ysWHO5+bPF)_ zp1ODE?QLs7f`s2_;OxiBz@6=4Q zQ_|Ix3WMX@qT_McDb1VA7LC~l$f9^b{&&g7>|+cq($3N#h$F|-GGp2>*?cQZ_RTit zn^!VRJhD{aJ>NX;y;){GSt~)B8?cS|$KSSh=ebFSp+@Q*93O+=S98MK9Pf?kon84{ z;X%8T{##231|1gjfJUBft@^k|qgw-ep8i(N=8HdXsZ}7?F z80-dWFnMtNYBiV-H5l*xi)t|2yc$d=Xp!=2u-7IA#9)6>gUN&ASF6E%sKI#eUsQwH z=G9<2L5q}EgT2f#AjV%w4JHr5WBh70m>o43@BNEvFx$KuOebiOvNiAl)>1xQP!a#K zmHq}NTzTV}87cQUOV+@*a6SsU`S{CFjm;|N_`ctzVFV~eS_#U8Id`S`8Y(k2RJIN- zc8ZESI;)7N`ZB1t2$u0FtOZH3iU{jD7x^FYEqU)yDm+sP zPROHP{yn(lTruYfnk#GdDP8*rdBqt%^KX0Qc#Pn?N5M&uQgA{Y{j0w>?I#|VcmUD7 z4Wg~NGmH<8&x4!w_4Y;Q%VHaH?rNSZYsxRm-`0x%E3v(Vw+es}vF}jfJYjevcs{ZZ(fKXOB1Rqmb_}bEgkbmj+>3`>;f`pX5(SLH%YE9KYIqXFhB<JV6DM%2SbGhgKJ{K}w~;PluXX&jxJ?9+e$ZeZINxrc*?!sIq&)X&i8XC9e94r zs!I!n69v(^m$s~0`_thk46P*Nv#mWmE9>e9)y5+%9BlBdxK>X91@P z+D*(c!f|)WqCo%1?Qk)7j}HrmNkQ`KWj&;k{y@SOz>yiDxWmkQ$6P`J|NYVwrd5F?1mnCJ#I}QLZj=-D+S7Ay>}GjM70lIkRW3(a37cgl<*D zC`60&Yu+z9`kVOQ_57jdPd$I>fnE&jgnV9j^t&dk1bT?J67N1SDzLRuS>XF+Q>{#n zmX9pmVsruDYOs4H@Rvyk?UYf(o2Y!{&#IWH&FgK9_{`I_S)T>lm1?sOHJER&&3G$s zm)g9mS~g^KY~4DnaJ4dWq_nSmf8?EndxCraXFc2Hw@V7K zsWF}R#A#`;x+_?9-V3(6JGUb5O83Awd1QUPQ~ssXzQo0I|7C7}Z*EUhW>-At_S&0! zah6}J%^a(>7;hNvCaiV)E|zlZ^%}=2Bh~U#!}~`o2S(fFx8ws|scU4jMs;a%pGNvA zNcEk}2MI0G&D^ruh#txUNNwrDk2i^ae=I&I1+g(UPYLr}CmmohkB#T3tn6tX&p8e| zp3A@u!LuAZ&ByiSL=vEzt}nzH3vtXZtu#lHD4m-A5aXc`!+fu>);{3$5T>j0iae6L z%4&`05sMn@_37G7RWio_&~}`S$4!)W6XU4W!CmSdW7zIs?zauX^iLyzNx9e%h z>M_YKS!~nQpzI6t{sf~0xHEVmzZYckPt_azYc!_luZdibO9)Uimq9|!`J;`Ob43Gk USx&hc^*X7R=fXSEfc}r@KTRfhF#rGn literal 0 HcmV?d00001 diff --git a/pa.fromNcd.tcl b/pa.fromNcd.tcl new file mode 100644 index 0000000..6c6ad54 --- /dev/null +++ b/pa.fromNcd.tcl @@ -0,0 +1,15 @@ + +# PlanAhead Launch Script for Post PAR Floorplanning, created by Project Navigator + +create_project -name IEEE754Adder -dir "/home/Luca/ISE/IEEE754Adder/planAhead_run_1" -part xa6slx4csg225-3 +set srcset [get_property srcset [current_run -impl]] +set_property design_mode GateLvl $srcset +set_property edif_top_file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ngc" [ get_property srcset [ current_run ] ] +add_files -norecurse { {/home/Luca/ISE/IEEE754Adder} } +set_property target_constrs_file "SpecialCasesCheck.ucf" [current_fileset -constrset] +add_files [list {SpecialCasesCheck.ucf}] -fileset [get_property constrset [current_run]] +link_design +read_xdl -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd" +if {[catch {read_twx -name results_1 -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx"} eInfo]} { + puts "WARNING: there was a problem importing \"/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx\": $eInfo" +} diff --git a/planAhead.ngc2edif.log b/planAhead.ngc2edif.log new file mode 100644 index 0000000..1e2bea2 --- /dev/null +++ b/planAhead.ngc2edif.log @@ -0,0 +1,12 @@ +Release 14.7 - ngc2edif P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +Reading design SpecialCasesCheck.ngc ... +WARNING:NetListWriters:298 - No output is written to SpecialCasesCheck.xncf, + ignored. +Processing design ... + Preping design's networks ... + Preping design's macros ... + finished :Prep +Writing EDIF netlist file SpecialCasesCheck.edif ... +ngc2edif: Total memory usage is 103004 kilobytes + diff --git a/planAhead_pid7025.debug b/planAhead_pid7025.debug new file mode 100644 index 0000000..db27562 --- /dev/null +++ b/planAhead_pid7025.debug @@ -0,0 +1,92 @@ +#------------------------------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013 +# Current time: 8/24/19 2:52:26 PM +# Process ID: 7025 +# Platform: Unix +# +# This file is an indication that an internal application error occurred. +# This information is useful for debugging. Please open a case with Xilinx +# Technical Support with this file and a testcase attached. +#------------------------------------------------------------------------------- +8/24/19 2:52:26 PM +ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR + + at ui.h.e.CF(SourceFile:217) + at ui.h.I.CF(SourceFile:702) + at ui.frmwork.HTclEventBroker.a(SourceFile:368) + at ui.frmwork.HTclEventBroker.bb(SourceFile:354) + at ui.project.a.een(SourceFile:759) + at ui.project.a.cleanup(SourceFile:608) + at ui.project.r.cleanup(SourceFile:631) + at ui.PlanAhead.aJj(SourceFile:335) + at ui.PlanAhead.a(SourceFile:1192) + at ui.frmwork.a.i.c(SourceFile:35) + at ui.frmwork.HTclEventBroker.a(SourceFile:233) + at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325) + at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method) + at ui.e.gY(SourceFile:195) + at ui.bl.run(SourceFile:882) + at ui.cd.run(SourceFile:1821) + at ui.views.F.aw.a(SourceFile:341) + at ui.cd.b(SourceFile:1809) + at ui.cd.a(SourceFile:1784) + at ui.PlanAhead.a(SourceFile:778) + at ui.aL.c(SourceFile:885) + at ui.aL.aHs(SourceFile:824) + at ui.bk.windowClosing(SourceFile:503) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.Window.processWindowEvent(Window.java:2051) + at javax.swing.JFrame.processWindowEvent(JFrame.java:296) + at java.awt.Window.processEvent(Window.java:2009) + at ui.aL.processEvent(SourceFile:1214) + at java.awt.Component.dispatchEventImpl(Component.java:4861) + at java.awt.Container.dispatchEventImpl(Container.java:2287) + at java.awt.Window.dispatchEventImpl(Window.java:2719) + at java.awt.Component.dispatchEvent(Component.java:4687) + at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729) + at java.awt.EventQueue.access$200(EventQueue.java:103) + at java.awt.EventQueue$3.run(EventQueue.java:688) + at java.awt.EventQueue$3.run(EventQueue.java:686) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87) + at java.awt.EventQueue$4.run(EventQueue.java:702) + at java.awt.EventQueue$4.run(EventQueue.java:700) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.awt.EventQueue.dispatchEvent(EventQueue.java:699) + at ui.frmwork.a.e.dispatchEvent(SourceFile:73) + at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242) + at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161) + at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138) + at java.awt.EventDispatchThread.run(EventDispatchThread.java:91) + diff --git a/planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif b/planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif new file mode 100644 index 0000000..0d15b55 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif @@ -0,0 +1,1762 @@ +(edif SpecialCasesCheck + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2019 8 24 14 51 45) + (program "Xilinx ngc2edif" (version "P.20131013")) + (author "Xilinx. Inc ") + (comment "This EDIF netlist is to be used within supported synthesis tools") + (comment "for determining resource/timing estimates of the design component") + (comment "represented by this netlist.") + (comment "Command line: -mdp2sp -w -secure SpecialCasesCheck.ngc SpecialCasesCheck.edif "))) + (external UNISIMS + (edifLevel 0) + (technology (numberDefinition)) + (cell GND + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port G + (direction OUTPUT) + ) + ) + ) + ) + (cell VCC + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port P + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT4 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell MUXCY + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port CI + (direction INPUT) + ) + (port DI + (direction INPUT) + ) + (port S + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT6 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port I4 + (direction INPUT) + ) + (port I5 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT3 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell LUT5 + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I0 + (direction INPUT) + ) + (port I1 + (direction INPUT) + ) + (port I2 + (direction INPUT) + ) + (port I3 + (direction INPUT) + ) + (port I4 + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell IBUF + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + (cell OBUF + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port I + (direction INPUT) + ) + (port O + (direction OUTPUT) + ) + ) + ) + ) + ) + + (library SpecialCasesCheck_lib + (edifLevel 0) + (technology (numberDefinition)) + (cell SpecialCasesCheck + (cellType GENERIC) + (view view_1 + (viewType NETLIST) + (interface + (port isNaN + (direction OUTPUT) + ) + (port isZero + (direction OUTPUT) + ) + (port (array (rename X "X<31:0>") 32) + (direction INPUT)) + (port (array (rename Y "Y<31:0>") 32) + (direction INPUT)) + (designator "xa6slx4-3-csg225") + (property TYPE (string "SpecialCasesCheck") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:X<31:0>") (owner "Xilinx")) + (property BUS_INFO (string "32:INPUT:Y<31:0>") (owner "Xilinx")) + (property SHREG_MIN_SIZE (string "2") (owner "Xilinx")) + (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx")) + (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx")) + (property NLW_MACRO_TAG (integer 0) (owner "Xilinx")) + (property NLW_MACRO_ALIAS (string "SpecialCasesCheck_SpecialCasesCheck") (owner "Xilinx")) + ) + (contents + (instance XST_GND + (viewRef view_1 (cellRef GND (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance XST_VCC + (viewRef view_1 (cellRef VCC (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_0__ "ZC/isZero_wg_lut<0>") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_0__ "ZC/isZero_wg_cy<0>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_1__ "ZC/isZero_wg_lut<1>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_1__ "ZC/isZero_wg_cy<1>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_2__ "ZC/isZero_wg_lut<2>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_2__ "ZC/isZero_wg_cy<2>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_3__ "ZC/isZero_wg_lut<3>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_3__ "ZC/isZero_wg_cy<3>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_4__ "ZC/isZero_wg_lut<4>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_4__ "ZC/isZero_wg_cy<4>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_5__ "ZC/isZero_wg_lut<5>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_5__ "ZC/isZero_wg_cy<5>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_6__ "ZC/isZero_wg_lut<6>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_6__ "ZC/isZero_wg_cy<6>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_7__ "ZC/isZero_wg_lut<7>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_7__ "ZC/isZero_wg_cy<7>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_8__ "ZC/isZero_wg_lut<8>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_8__ "ZC/isZero_wg_cy<8>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_9__ "ZC/isZero_wg_lut<9>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "9009000000009009") (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_9__ "ZC/isZero_wg_cy<9>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_cy_10__ "ZC/isZero_wg_cy<10>") + (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename NC_yCheck_G_compute_G_tmp_0__SW0 "NC/yCheck/G_compute.G_tmp<0>_SW0") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80") (owner "Xilinx")) + ) + (instance (rename NC_yCheck_G_compute_G_tmp_0__ "NC/yCheck/G_compute.G_tmp<0>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000000000000000") (owner "Xilinx")) + ) + (instance (rename NC_isNan1_renamed_0 "NC/isNan1") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "8000000000000000") (owner "Xilinx")) + ) + (instance (rename NC_isNan2_renamed_1 "NC/isNan2") + (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "80") (owner "Xilinx")) + ) + (instance (rename NC_isNan3_renamed_2 "NC/isNan3") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan4_renamed_3 "NC/isNan4") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan5_renamed_4 "NC/isNan5") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan6_renamed_5 "NC/isNan6") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan7_renamed_6 "NC/isNan7") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan8_renamed_7 "NC/isNan8") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan9_renamed_8 "NC/isNan9") + (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan10_renamed_9 "NC/isNan10") + (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan11_renamed_10 "NC/isNan11") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename NC_isNan12 "NC/isNan12") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "FFFFFFFFFFFFFFFE") (owner "Xilinx")) + ) + (instance (rename X_31_IBUF_renamed_11 "X_31_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_30_IBUF_renamed_12 "X_30_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_29_IBUF_renamed_13 "X_29_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_28_IBUF_renamed_14 "X_28_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_27_IBUF_renamed_15 "X_27_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_26_IBUF_renamed_16 "X_26_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_25_IBUF_renamed_17 "X_25_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_24_IBUF_renamed_18 "X_24_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_23_IBUF_renamed_19 "X_23_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_22_IBUF_renamed_20 "X_22_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_21_IBUF_renamed_21 "X_21_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_20_IBUF_renamed_22 "X_20_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_19_IBUF_renamed_23 "X_19_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_18_IBUF_renamed_24 "X_18_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_17_IBUF_renamed_25 "X_17_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_16_IBUF_renamed_26 "X_16_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_15_IBUF_renamed_27 "X_15_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_14_IBUF_renamed_28 "X_14_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_13_IBUF_renamed_29 "X_13_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_12_IBUF_renamed_30 "X_12_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_11_IBUF_renamed_31 "X_11_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_10_IBUF_renamed_32 "X_10_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_9_IBUF_renamed_33 "X_9_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_8_IBUF_renamed_34 "X_8_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_7_IBUF_renamed_35 "X_7_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_6_IBUF_renamed_36 "X_6_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_5_IBUF_renamed_37 "X_5_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_4_IBUF_renamed_38 "X_4_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_3_IBUF_renamed_39 "X_3_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_2_IBUF_renamed_40 "X_2_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_1_IBUF_renamed_41 "X_1_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename X_0_IBUF_renamed_42 "X_0_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_31_IBUF_renamed_43 "Y_31_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_30_IBUF_renamed_44 "Y_30_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_29_IBUF_renamed_45 "Y_29_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_28_IBUF_renamed_46 "Y_28_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_27_IBUF_renamed_47 "Y_27_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_26_IBUF_renamed_48 "Y_26_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_25_IBUF_renamed_49 "Y_25_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_24_IBUF_renamed_50 "Y_24_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_23_IBUF_renamed_51 "Y_23_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_22_IBUF_renamed_52 "Y_22_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_21_IBUF_renamed_53 "Y_21_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_20_IBUF_renamed_54 "Y_20_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_19_IBUF_renamed_55 "Y_19_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_18_IBUF_renamed_56 "Y_18_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_17_IBUF_renamed_57 "Y_17_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_16_IBUF_renamed_58 "Y_16_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_15_IBUF_renamed_59 "Y_15_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_14_IBUF_renamed_60 "Y_14_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_13_IBUF_renamed_61 "Y_13_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_12_IBUF_renamed_62 "Y_12_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_11_IBUF_renamed_63 "Y_11_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_10_IBUF_renamed_64 "Y_10_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_9_IBUF_renamed_65 "Y_9_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_8_IBUF_renamed_66 "Y_8_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_7_IBUF_renamed_67 "Y_7_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_6_IBUF_renamed_68 "Y_6_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_5_IBUF_renamed_69 "Y_5_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_4_IBUF_renamed_70 "Y_4_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_3_IBUF_renamed_71 "Y_3_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_2_IBUF_renamed_72 "Y_2_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_1_IBUF_renamed_73 "Y_1_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename Y_0_IBUF_renamed_74 "Y_0_IBUF") + (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename isNaN_OBUF_renamed_75 "isNaN_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename isZero_OBUF_renamed_76 "isZero_OBUF") + (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + ) + (instance (rename ZC_isZero_wg_lut_10__ "ZC/isZero_wg_lut<10>") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "0990000000000990") (owner "Xilinx")) + ) + (instance (rename NC_isNan13 "NC/isNan13") + (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS))) + (property XSTLIB (boolean (true)) (owner "Xilinx")) + (property INIT (string "EEEECCCCAAAA0880") (owner "Xilinx")) + ) + (net X_31_IBUF + (joined + (portRef O (instanceRef X_31_IBUF_renamed_11)) + (portRef I2 (instanceRef ZC_isZero_wg_lut_10__)) + (portRef I2 (instanceRef NC_isNan13)) + ) + ) + (net X_30_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I1 (instanceRef NC_isNan2_renamed_1)) + (portRef O (instanceRef X_30_IBUF_renamed_12)) + ) + ) + (net X_29_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_0__)) + (portRef I0 (instanceRef NC_isNan2_renamed_1)) + (portRef O (instanceRef X_29_IBUF_renamed_13)) + ) + ) + (net X_28_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I1 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_28_IBUF_renamed_14)) + ) + ) + (net X_27_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I0 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_27_IBUF_renamed_15)) + ) + ) + (net X_26_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I3 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_26_IBUF_renamed_16)) + ) + ) + (net X_25_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I2 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_25_IBUF_renamed_17)) + ) + ) + (net X_24_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I5 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_24_IBUF_renamed_18)) + ) + ) + (net X_23_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I4 (instanceRef NC_isNan1_renamed_0)) + (portRef O (instanceRef X_23_IBUF_renamed_19)) + ) + ) + (net X_22_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I5 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_22_IBUF_renamed_20)) + ) + ) + (net X_21_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I4 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_21_IBUF_renamed_21)) + ) + ) + (net X_20_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I1 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_20_IBUF_renamed_22)) + ) + ) + (net X_19_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I3 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_19_IBUF_renamed_23)) + ) + ) + (net X_18_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I2 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_18_IBUF_renamed_24)) + ) + ) + (net X_17_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I5 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_17_IBUF_renamed_25)) + ) + ) + (net X_16_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I4 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_16_IBUF_renamed_26)) + ) + ) + (net X_15_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I4 (instanceRef NC_isNan7_renamed_6)) + (portRef O (instanceRef X_15_IBUF_renamed_27)) + ) + ) + (net X_14_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I3 (instanceRef NC_isNan7_renamed_6)) + (portRef O (instanceRef X_14_IBUF_renamed_28)) + ) + ) + (net X_13_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I1 (instanceRef NC_isNan4_renamed_3)) + (portRef O (instanceRef X_13_IBUF_renamed_29)) + ) + ) + (net X_12_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I0 (instanceRef NC_isNan4_renamed_3)) + (portRef O (instanceRef X_12_IBUF_renamed_30)) + ) + ) + (net X_11_IBUF + (joined + (portRef I4 (instanceRef NC_isNan4_renamed_3)) + (portRef O (instanceRef X_11_IBUF_renamed_31)) + (portRef I4 (instanceRef ZC_isZero_wg_lut_10__)) + ) + ) + (net X_10_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I3 (instanceRef NC_isNan4_renamed_3)) + (portRef O (instanceRef X_10_IBUF_renamed_32)) + ) + ) + (net X_9_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I1 (instanceRef NC_isNan5_renamed_4)) + (portRef O (instanceRef X_9_IBUF_renamed_33)) + ) + ) + (net X_8_IBUF + (joined + (portRef I0 (instanceRef NC_isNan5_renamed_4)) + (portRef O (instanceRef X_8_IBUF_renamed_34)) + (portRef I0 (instanceRef ZC_isZero_wg_lut_10__)) + ) + ) + (net X_7_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I3 (instanceRef NC_isNan5_renamed_4)) + (portRef O (instanceRef X_7_IBUF_renamed_35)) + ) + ) + (net X_6_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I2 (instanceRef NC_isNan5_renamed_4)) + (portRef O (instanceRef X_6_IBUF_renamed_36)) + ) + ) + (net X_5_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I1 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_5_IBUF_renamed_37)) + ) + ) + (net X_4_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I0 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_4_IBUF_renamed_38)) + ) + ) + (net X_3_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_0__)) + (portRef I3 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_3_IBUF_renamed_39)) + ) + ) + (net X_2_IBUF + (joined + (portRef I0 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I2 (instanceRef NC_isNan6_renamed_5)) + (portRef O (instanceRef X_2_IBUF_renamed_40)) + ) + ) + (net X_1_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I0 (instanceRef NC_isNan3_renamed_2)) + (portRef O (instanceRef X_1_IBUF_renamed_41)) + ) + ) + (net X_0_IBUF + (joined + (portRef I2 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I2 (instanceRef NC_isNan4_renamed_3)) + (portRef O (instanceRef X_0_IBUF_renamed_42)) + ) + ) + (net Y_31_IBUF + (joined + (portRef O (instanceRef Y_31_IBUF_renamed_43)) + (portRef I3 (instanceRef ZC_isZero_wg_lut_10__)) + (portRef I3 (instanceRef NC_isNan13)) + ) + ) + (net Y_30_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I0 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef O (instanceRef Y_30_IBUF_renamed_44)) + ) + ) + (net Y_29_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_0__)) + (portRef I1 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef O (instanceRef Y_29_IBUF_renamed_45)) + ) + ) + (net Y_28_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I2 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef O (instanceRef Y_28_IBUF_renamed_46)) + ) + ) + (net Y_27_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I3 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef O (instanceRef Y_27_IBUF_renamed_47)) + ) + ) + (net Y_26_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I4 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef O (instanceRef Y_26_IBUF_renamed_48)) + ) + ) + (net Y_25_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I0 (instanceRef NC_yCheck_G_compute_G_tmp_0__SW0)) + (portRef O (instanceRef Y_25_IBUF_renamed_49)) + ) + ) + (net Y_24_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I1 (instanceRef NC_yCheck_G_compute_G_tmp_0__SW0)) + (portRef O (instanceRef Y_24_IBUF_renamed_50)) + ) + ) + (net Y_23_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I2 (instanceRef NC_yCheck_G_compute_G_tmp_0__SW0)) + (portRef O (instanceRef Y_23_IBUF_renamed_51)) + ) + ) + (net Y_22_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I5 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_22_IBUF_renamed_52)) + ) + ) + (net Y_21_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I4 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_21_IBUF_renamed_53)) + ) + ) + (net Y_20_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I1 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_20_IBUF_renamed_54)) + ) + ) + (net Y_19_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_5__)) + (portRef I3 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_19_IBUF_renamed_55)) + ) + ) + (net Y_18_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I2 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_18_IBUF_renamed_56)) + ) + ) + (net Y_17_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I5 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_17_IBUF_renamed_57)) + ) + ) + (net Y_16_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_6__)) + (portRef I4 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_16_IBUF_renamed_58)) + ) + ) + (net Y_15_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I4 (instanceRef NC_isNan12)) + (portRef O (instanceRef Y_15_IBUF_renamed_59)) + ) + ) + (net Y_14_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_3__)) + (portRef I3 (instanceRef NC_isNan12)) + (portRef O (instanceRef Y_14_IBUF_renamed_60)) + ) + ) + (net Y_13_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I1 (instanceRef NC_isNan9_renamed_8)) + (portRef O (instanceRef Y_13_IBUF_renamed_61)) + ) + ) + (net Y_12_IBUF + (joined + (portRef I4 (instanceRef ZC_isZero_wg_lut_7__)) + (portRef I0 (instanceRef NC_isNan9_renamed_8)) + (portRef O (instanceRef Y_12_IBUF_renamed_62)) + ) + ) + (net Y_11_IBUF + (joined + (portRef I4 (instanceRef NC_isNan9_renamed_8)) + (portRef O (instanceRef Y_11_IBUF_renamed_63)) + (portRef I5 (instanceRef ZC_isZero_wg_lut_10__)) + ) + ) + (net Y_10_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_2__)) + (portRef I3 (instanceRef NC_isNan9_renamed_8)) + (portRef O (instanceRef Y_10_IBUF_renamed_64)) + ) + ) + (net Y_9_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I1 (instanceRef NC_isNan10_renamed_9)) + (portRef O (instanceRef Y_9_IBUF_renamed_65)) + ) + ) + (net Y_8_IBUF + (joined + (portRef I0 (instanceRef NC_isNan10_renamed_9)) + (portRef O (instanceRef Y_8_IBUF_renamed_66)) + (portRef I1 (instanceRef ZC_isZero_wg_lut_10__)) + ) + ) + (net Y_7_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I3 (instanceRef NC_isNan10_renamed_9)) + (portRef O (instanceRef Y_7_IBUF_renamed_67)) + ) + ) + (net Y_6_IBUF + (joined + (portRef I5 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I2 (instanceRef NC_isNan10_renamed_9)) + (portRef O (instanceRef Y_6_IBUF_renamed_68)) + ) + ) + (net Y_5_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I1 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_5_IBUF_renamed_69)) + ) + ) + (net Y_4_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_1__)) + (portRef I0 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_4_IBUF_renamed_70)) + ) + ) + (net Y_3_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_0__)) + (portRef I3 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_3_IBUF_renamed_71)) + ) + ) + (net Y_2_IBUF + (joined + (portRef I1 (instanceRef ZC_isZero_wg_lut_8__)) + (portRef I2 (instanceRef NC_isNan11_renamed_10)) + (portRef O (instanceRef Y_2_IBUF_renamed_72)) + ) + ) + (net Y_1_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_4__)) + (portRef I0 (instanceRef NC_isNan8_renamed_7)) + (portRef O (instanceRef Y_1_IBUF_renamed_73)) + ) + ) + (net Y_0_IBUF + (joined + (portRef I3 (instanceRef ZC_isZero_wg_lut_9__)) + (portRef I2 (instanceRef NC_isNan9_renamed_8)) + (portRef O (instanceRef Y_0_IBUF_renamed_74)) + ) + ) + (net isNaN_OBUF + (joined + (portRef I (instanceRef isNaN_OBUF_renamed_75)) + (portRef O (instanceRef NC_isNan13)) + ) + ) + (net isZero_OBUF + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_10__)) + (portRef I (instanceRef isZero_OBUF_renamed_76)) + ) + ) + (net N0 + (joined + (portRef G (instanceRef XST_GND)) + (portRef DI (instanceRef ZC_isZero_wg_cy_0__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_1__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_2__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_3__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_4__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_5__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_6__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_7__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_8__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_9__)) + (portRef DI (instanceRef ZC_isZero_wg_cy_10__)) + ) + ) + (net N1 + (joined + (portRef P (instanceRef XST_VCC)) + (portRef CI (instanceRef ZC_isZero_wg_cy_0__)) + ) + ) + (net (rename NC_yCheck_G_compute_G_tmp "NC/yCheck/G_compute.G_tmp") + (joined + (portRef O (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + (portRef I0 (instanceRef NC_isNan13)) + ) + ) + (net (rename ZC_isZero_wg_lut_0_ "ZC/isZero_wg_lut<0>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_0__)) + (portRef S (instanceRef ZC_isZero_wg_cy_0__)) + ) + ) + (net (rename ZC_isZero_wg_cy_0_ "ZC/isZero_wg_cy<0>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_0__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_1__)) + ) + ) + (net (rename ZC_isZero_wg_lut_1_ "ZC/isZero_wg_lut<1>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_1__)) + (portRef S (instanceRef ZC_isZero_wg_cy_1__)) + ) + ) + (net (rename ZC_isZero_wg_cy_1_ "ZC/isZero_wg_cy<1>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_1__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_2__)) + ) + ) + (net (rename ZC_isZero_wg_lut_2_ "ZC/isZero_wg_lut<2>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_2__)) + (portRef S (instanceRef ZC_isZero_wg_cy_2__)) + ) + ) + (net (rename ZC_isZero_wg_cy_2_ "ZC/isZero_wg_cy<2>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_2__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_3__)) + ) + ) + (net (rename ZC_isZero_wg_lut_3_ "ZC/isZero_wg_lut<3>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_3__)) + (portRef S (instanceRef ZC_isZero_wg_cy_3__)) + ) + ) + (net (rename ZC_isZero_wg_cy_3_ "ZC/isZero_wg_cy<3>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_3__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_4__)) + ) + ) + (net (rename ZC_isZero_wg_lut_4_ "ZC/isZero_wg_lut<4>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_4__)) + (portRef S (instanceRef ZC_isZero_wg_cy_4__)) + ) + ) + (net (rename ZC_isZero_wg_cy_4_ "ZC/isZero_wg_cy<4>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_4__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_5__)) + ) + ) + (net (rename ZC_isZero_wg_lut_5_ "ZC/isZero_wg_lut<5>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_5__)) + (portRef S (instanceRef ZC_isZero_wg_cy_5__)) + ) + ) + (net (rename ZC_isZero_wg_cy_5_ "ZC/isZero_wg_cy<5>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_5__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_6__)) + ) + ) + (net (rename ZC_isZero_wg_lut_6_ "ZC/isZero_wg_lut<6>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_6__)) + (portRef S (instanceRef ZC_isZero_wg_cy_6__)) + ) + ) + (net (rename ZC_isZero_wg_cy_6_ "ZC/isZero_wg_cy<6>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_6__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_7__)) + ) + ) + (net (rename ZC_isZero_wg_lut_7_ "ZC/isZero_wg_lut<7>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_7__)) + (portRef S (instanceRef ZC_isZero_wg_cy_7__)) + ) + ) + (net (rename ZC_isZero_wg_cy_7_ "ZC/isZero_wg_cy<7>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_7__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_8__)) + ) + ) + (net (rename ZC_isZero_wg_lut_8_ "ZC/isZero_wg_lut<8>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_8__)) + (portRef S (instanceRef ZC_isZero_wg_cy_8__)) + ) + ) + (net (rename ZC_isZero_wg_cy_8_ "ZC/isZero_wg_cy<8>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_8__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_9__)) + ) + ) + (net (rename ZC_isZero_wg_lut_9_ "ZC/isZero_wg_lut<9>") + (joined + (portRef O (instanceRef ZC_isZero_wg_lut_9__)) + (portRef S (instanceRef ZC_isZero_wg_cy_9__)) + ) + ) + (net (rename ZC_isZero_wg_cy_9_ "ZC/isZero_wg_cy<9>") + (joined + (portRef O (instanceRef ZC_isZero_wg_cy_9__)) + (portRef CI (instanceRef ZC_isZero_wg_cy_10__)) + ) + ) + (net (rename ZC_isZero_wg_lut_10_ "ZC/isZero_wg_lut<10>") + (joined + (portRef S (instanceRef ZC_isZero_wg_cy_10__)) + (portRef O (instanceRef ZC_isZero_wg_lut_10__)) + ) + ) + (net N2 + (joined + (portRef O (instanceRef NC_yCheck_G_compute_G_tmp_0__SW0)) + (portRef I5 (instanceRef NC_yCheck_G_compute_G_tmp_0__)) + ) + ) + (net (rename NC_isNan "NC/isNan") + (joined + (portRef O (instanceRef NC_isNan1_renamed_0)) + (portRef I2 (instanceRef NC_isNan2_renamed_1)) + ) + ) + (net (rename NC_isNan1 "NC/isNan1") + (joined + (portRef O (instanceRef NC_isNan2_renamed_1)) + (portRef I1 (instanceRef NC_isNan13)) + ) + ) + (net (rename NC_isNan2 "NC/isNan2") + (joined + (portRef O (instanceRef NC_isNan3_renamed_2)) + (portRef I2 (instanceRef NC_isNan7_renamed_6)) + ) + ) + (net (rename NC_isNan3 "NC/isNan3") + (joined + (portRef O (instanceRef NC_isNan4_renamed_3)) + (portRef I5 (instanceRef NC_isNan7_renamed_6)) + ) + ) + (net (rename NC_isNan4 "NC/isNan4") + (joined + (portRef O (instanceRef NC_isNan5_renamed_4)) + (portRef I0 (instanceRef NC_isNan7_renamed_6)) + ) + ) + (net (rename NC_isNan5 "NC/isNan5") + (joined + (portRef O (instanceRef NC_isNan6_renamed_5)) + (portRef I1 (instanceRef NC_isNan7_renamed_6)) + ) + ) + (net (rename NC_isNan6 "NC/isNan6") + (joined + (portRef O (instanceRef NC_isNan7_renamed_6)) + (portRef I5 (instanceRef NC_isNan13)) + ) + ) + (net (rename NC_isNan7 "NC/isNan7") + (joined + (portRef O (instanceRef NC_isNan8_renamed_7)) + (portRef I2 (instanceRef NC_isNan12)) + ) + ) + (net (rename NC_isNan8 "NC/isNan8") + (joined + (portRef O (instanceRef NC_isNan9_renamed_8)) + (portRef I5 (instanceRef NC_isNan12)) + ) + ) + (net (rename NC_isNan9 "NC/isNan9") + (joined + (portRef O (instanceRef NC_isNan10_renamed_9)) + (portRef I0 (instanceRef NC_isNan12)) + ) + ) + (net (rename NC_isNan10 "NC/isNan10") + (joined + (portRef O (instanceRef NC_isNan11_renamed_10)) + (portRef I1 (instanceRef NC_isNan12)) + ) + ) + (net (rename NC_isNan11 "NC/isNan11") + (joined + (portRef O (instanceRef NC_isNan12)) + (portRef I4 (instanceRef NC_isNan13)) + ) + ) + (net (rename X_31_ "X<31>") + (joined + (portRef (member X 0)) + (portRef I (instanceRef X_31_IBUF_renamed_11)) + ) + ) + (net (rename X_30_ "X<30>") + (joined + (portRef (member X 1)) + (portRef I (instanceRef X_30_IBUF_renamed_12)) + ) + ) + (net (rename X_29_ "X<29>") + (joined + (portRef (member X 2)) + (portRef I (instanceRef X_29_IBUF_renamed_13)) + ) + ) + (net (rename X_28_ "X<28>") + (joined + (portRef (member X 3)) + (portRef I (instanceRef X_28_IBUF_renamed_14)) + ) + ) + (net (rename X_27_ "X<27>") + (joined + (portRef (member X 4)) + (portRef I (instanceRef X_27_IBUF_renamed_15)) + ) + ) + (net (rename X_26_ "X<26>") + (joined + (portRef (member X 5)) + (portRef I (instanceRef X_26_IBUF_renamed_16)) + ) + ) + (net (rename X_25_ "X<25>") + (joined + (portRef (member X 6)) + (portRef I (instanceRef X_25_IBUF_renamed_17)) + ) + ) + (net (rename X_24_ "X<24>") + (joined + (portRef (member X 7)) + (portRef I (instanceRef X_24_IBUF_renamed_18)) + ) + ) + (net (rename X_23_ "X<23>") + (joined + (portRef (member X 8)) + (portRef I (instanceRef X_23_IBUF_renamed_19)) + ) + ) + (net (rename X_22_ "X<22>") + (joined + (portRef (member X 9)) + (portRef I (instanceRef X_22_IBUF_renamed_20)) + ) + ) + (net (rename X_21_ "X<21>") + (joined + (portRef (member X 10)) + (portRef I (instanceRef X_21_IBUF_renamed_21)) + ) + ) + (net (rename X_20_ "X<20>") + (joined + (portRef (member X 11)) + (portRef I (instanceRef X_20_IBUF_renamed_22)) + ) + ) + (net (rename X_19_ "X<19>") + (joined + (portRef (member X 12)) + (portRef I (instanceRef X_19_IBUF_renamed_23)) + ) + ) + (net (rename X_18_ "X<18>") + (joined + (portRef (member X 13)) + (portRef I (instanceRef X_18_IBUF_renamed_24)) + ) + ) + (net (rename X_17_ "X<17>") + (joined + (portRef (member X 14)) + (portRef I (instanceRef X_17_IBUF_renamed_25)) + ) + ) + (net (rename X_16_ "X<16>") + (joined + (portRef (member X 15)) + (portRef I (instanceRef X_16_IBUF_renamed_26)) + ) + ) + (net (rename X_15_ "X<15>") + (joined + (portRef (member X 16)) + (portRef I (instanceRef X_15_IBUF_renamed_27)) + ) + ) + (net (rename X_14_ "X<14>") + (joined + (portRef (member X 17)) + (portRef I (instanceRef X_14_IBUF_renamed_28)) + ) + ) + (net (rename X_13_ "X<13>") + (joined + (portRef (member X 18)) + (portRef I (instanceRef X_13_IBUF_renamed_29)) + ) + ) + (net (rename X_12_ "X<12>") + (joined + (portRef (member X 19)) + (portRef I (instanceRef X_12_IBUF_renamed_30)) + ) + ) + (net (rename X_11_ "X<11>") + (joined + (portRef (member X 20)) + (portRef I (instanceRef X_11_IBUF_renamed_31)) + ) + ) + (net (rename X_10_ "X<10>") + (joined + (portRef (member X 21)) + (portRef I (instanceRef X_10_IBUF_renamed_32)) + ) + ) + (net (rename X_9_ "X<9>") + (joined + (portRef (member X 22)) + (portRef I (instanceRef X_9_IBUF_renamed_33)) + ) + ) + (net (rename X_8_ "X<8>") + (joined + (portRef (member X 23)) + (portRef I (instanceRef X_8_IBUF_renamed_34)) + ) + ) + (net (rename X_7_ "X<7>") + (joined + (portRef (member X 24)) + (portRef I (instanceRef X_7_IBUF_renamed_35)) + ) + ) + (net (rename X_6_ "X<6>") + (joined + (portRef (member X 25)) + (portRef I (instanceRef X_6_IBUF_renamed_36)) + ) + ) + (net (rename X_5_ "X<5>") + (joined + (portRef (member X 26)) + (portRef I (instanceRef X_5_IBUF_renamed_37)) + ) + ) + (net (rename X_4_ "X<4>") + (joined + (portRef (member X 27)) + (portRef I (instanceRef X_4_IBUF_renamed_38)) + ) + ) + (net (rename X_3_ "X<3>") + (joined + (portRef (member X 28)) + (portRef I (instanceRef X_3_IBUF_renamed_39)) + ) + ) + (net (rename X_2_ "X<2>") + (joined + (portRef (member X 29)) + (portRef I (instanceRef X_2_IBUF_renamed_40)) + ) + ) + (net (rename X_1_ "X<1>") + (joined + (portRef (member X 30)) + (portRef I (instanceRef X_1_IBUF_renamed_41)) + ) + ) + (net (rename X_0_ "X<0>") + (joined + (portRef (member X 31)) + (portRef I (instanceRef X_0_IBUF_renamed_42)) + ) + ) + (net (rename Y_31_ "Y<31>") + (joined + (portRef (member Y 0)) + (portRef I (instanceRef Y_31_IBUF_renamed_43)) + ) + ) + (net (rename Y_30_ "Y<30>") + (joined + (portRef (member Y 1)) + (portRef I (instanceRef Y_30_IBUF_renamed_44)) + ) + ) + (net (rename Y_29_ "Y<29>") + (joined + (portRef (member Y 2)) + (portRef I (instanceRef Y_29_IBUF_renamed_45)) + ) + ) + (net (rename Y_28_ "Y<28>") + (joined + (portRef (member Y 3)) + (portRef I (instanceRef Y_28_IBUF_renamed_46)) + ) + ) + (net (rename Y_27_ "Y<27>") + (joined + (portRef (member Y 4)) + (portRef I (instanceRef Y_27_IBUF_renamed_47)) + ) + ) + (net (rename Y_26_ "Y<26>") + (joined + (portRef (member Y 5)) + (portRef I (instanceRef Y_26_IBUF_renamed_48)) + ) + ) + (net (rename Y_25_ "Y<25>") + (joined + (portRef (member Y 6)) + (portRef I (instanceRef Y_25_IBUF_renamed_49)) + ) + ) + (net (rename Y_24_ "Y<24>") + (joined + (portRef (member Y 7)) + (portRef I (instanceRef Y_24_IBUF_renamed_50)) + ) + ) + (net (rename Y_23_ "Y<23>") + (joined + (portRef (member Y 8)) + (portRef I (instanceRef Y_23_IBUF_renamed_51)) + ) + ) + (net (rename Y_22_ "Y<22>") + (joined + (portRef (member Y 9)) + (portRef I (instanceRef Y_22_IBUF_renamed_52)) + ) + ) + (net (rename Y_21_ "Y<21>") + (joined + (portRef (member Y 10)) + (portRef I (instanceRef Y_21_IBUF_renamed_53)) + ) + ) + (net (rename Y_20_ "Y<20>") + (joined + (portRef (member Y 11)) + (portRef I (instanceRef Y_20_IBUF_renamed_54)) + ) + ) + (net (rename Y_19_ "Y<19>") + (joined + (portRef (member Y 12)) + (portRef I (instanceRef Y_19_IBUF_renamed_55)) + ) + ) + (net (rename Y_18_ "Y<18>") + (joined + (portRef (member Y 13)) + (portRef I (instanceRef Y_18_IBUF_renamed_56)) + ) + ) + (net (rename Y_17_ "Y<17>") + (joined + (portRef (member Y 14)) + (portRef I (instanceRef Y_17_IBUF_renamed_57)) + ) + ) + (net (rename Y_16_ "Y<16>") + (joined + (portRef (member Y 15)) + (portRef I (instanceRef Y_16_IBUF_renamed_58)) + ) + ) + (net (rename Y_15_ "Y<15>") + (joined + (portRef (member Y 16)) + (portRef I (instanceRef Y_15_IBUF_renamed_59)) + ) + ) + (net (rename Y_14_ "Y<14>") + (joined + (portRef (member Y 17)) + (portRef I (instanceRef Y_14_IBUF_renamed_60)) + ) + ) + (net (rename Y_13_ "Y<13>") + (joined + (portRef (member Y 18)) + (portRef I (instanceRef Y_13_IBUF_renamed_61)) + ) + ) + (net (rename Y_12_ "Y<12>") + (joined + (portRef (member Y 19)) + (portRef I (instanceRef Y_12_IBUF_renamed_62)) + ) + ) + (net (rename Y_11_ "Y<11>") + (joined + (portRef (member Y 20)) + (portRef I (instanceRef Y_11_IBUF_renamed_63)) + ) + ) + (net (rename Y_10_ "Y<10>") + (joined + (portRef (member Y 21)) + (portRef I (instanceRef Y_10_IBUF_renamed_64)) + ) + ) + (net (rename Y_9_ "Y<9>") + (joined + (portRef (member Y 22)) + (portRef I (instanceRef Y_9_IBUF_renamed_65)) + ) + ) + (net (rename Y_8_ "Y<8>") + (joined + (portRef (member Y 23)) + (portRef I (instanceRef Y_8_IBUF_renamed_66)) + ) + ) + (net (rename Y_7_ "Y<7>") + (joined + (portRef (member Y 24)) + (portRef I (instanceRef Y_7_IBUF_renamed_67)) + ) + ) + (net (rename Y_6_ "Y<6>") + (joined + (portRef (member Y 25)) + (portRef I (instanceRef Y_6_IBUF_renamed_68)) + ) + ) + (net (rename Y_5_ "Y<5>") + (joined + (portRef (member Y 26)) + (portRef I (instanceRef Y_5_IBUF_renamed_69)) + ) + ) + (net (rename Y_4_ "Y<4>") + (joined + (portRef (member Y 27)) + (portRef I (instanceRef Y_4_IBUF_renamed_70)) + ) + ) + (net (rename Y_3_ "Y<3>") + (joined + (portRef (member Y 28)) + (portRef I (instanceRef Y_3_IBUF_renamed_71)) + ) + ) + (net (rename Y_2_ "Y<2>") + (joined + (portRef (member Y 29)) + (portRef I (instanceRef Y_2_IBUF_renamed_72)) + ) + ) + (net (rename Y_1_ "Y<1>") + (joined + (portRef (member Y 30)) + (portRef I (instanceRef Y_1_IBUF_renamed_73)) + ) + ) + (net (rename Y_0_ "Y<0>") + (joined + (portRef (member Y 31)) + (portRef I (instanceRef Y_0_IBUF_renamed_74)) + ) + ) + (net isNaN + (joined + (portRef isNaN) + (portRef O (instanceRef isNaN_OBUF_renamed_75)) + ) + ) + (net isZero + (joined + (portRef isZero) + (portRef O (instanceRef isZero_OBUF_renamed_76)) + ) + ) + ) + ) + ) + ) + + (design SpecialCasesCheck + (cellRef SpecialCasesCheck + (libraryRef SpecialCasesCheck_lib) + ) + (property PART (string "xa6slx4-3-csg225") (owner "Xilinx")) + ) +) + diff --git a/planAhead_run_1/IEEE754Adder.data/constrs_1/fileset.xml b/planAhead_run_1/IEEE754Adder.data/constrs_1/fileset.xml new file mode 100644 index 0000000..7f16bae --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/constrs_1/fileset.xml @@ -0,0 +1,16 @@ + + + + + + + + + + + + + + diff --git a/planAhead_run_1/IEEE754Adder.data/runs/impl_1.psg b/planAhead_run_1/IEEE754Adder.data/runs/impl_1.psg new file mode 100644 index 0000000..147f3a9 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/runs/impl_1.psg @@ -0,0 +1,20 @@ + + + + ISE Defaults, including packing registers in IOs off + + + + + + + + + + + + + + + + diff --git a/planAhead_run_1/IEEE754Adder.data/runs/runs.xml b/planAhead_run_1/IEEE754Adder.data/runs/runs.xml new file mode 100644 index 0000000..f573c93 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/runs/runs.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/planAhead_run_1/IEEE754Adder.data/sim_1/fileset.xml b/planAhead_run_1/IEEE754Adder.data/sim_1/fileset.xml new file mode 100644 index 0000000..65babe3 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/sim_1/fileset.xml @@ -0,0 +1,10 @@ + + + + + + + diff --git a/planAhead_run_1/IEEE754Adder.data/sources_1/fileset.xml b/planAhead_run_1/IEEE754Adder.data/sources_1/fileset.xml new file mode 100644 index 0000000..468b3ce --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/sources_1/fileset.xml @@ -0,0 +1,30 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/planAhead_run_1/IEEE754Adder.data/wt/java_command_handlers.wdf b/planAhead_run_1/IEEE754Adder.data/wt/java_command_handlers.wdf new file mode 100644 index 0000000..602f75d --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/wt/java_command_handlers.wdf @@ -0,0 +1,3 @@ +version:1 +70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7a6f6f6d696e:35:00:00 +eof:3762079013 diff --git a/planAhead_run_1/IEEE754Adder.data/wt/project.wpc b/planAhead_run_1/IEEE754Adder.data/wt/project.wpc new file mode 100644 index 0000000..5fed558 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/wt/project.wpc @@ -0,0 +1,4 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +6d6f64655f636f756e7465727c4953454d6f6465:1 +eof: diff --git a/planAhead_run_1/IEEE754Adder.data/wt/webtalk_pa.xml b/planAhead_run_1/IEEE754Adder.data/wt/webtalk_pa.xml new file mode 100644 index 0000000..bfd6021 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.data/wt/webtalk_pa.xml @@ -0,0 +1,29 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + +
+
+
diff --git a/planAhead_run_1/IEEE754Adder.ppr b/planAhead_run_1/IEEE754Adder.ppr new file mode 100644 index 0000000..f961cc1 --- /dev/null +++ b/planAhead_run_1/IEEE754Adder.ppr @@ -0,0 +1,28 @@ + + + + + + + + + + + + + diff --git a/planAhead_run_1/planAhead.jou b/planAhead_run_1/planAhead.jou new file mode 100644 index 0000000..915e2ff --- /dev/null +++ b/planAhead_run_1/planAhead.jou @@ -0,0 +1,10 @@ +#----------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013 +# Start of session at: Sat Aug 24 14:51:32 2019 +# Process ID: 7025 +# Log file: /home/Luca/ISE/IEEE754Adder/planAhead_run_1/planAhead.log +# Journal file: /home/Luca/ISE/IEEE754Adder/planAhead_run_1/planAhead.jou +#----------------------------------------------------------- +start_gui +source /home/Luca/ISE/IEEE754Adder/pa.fromNcd.tcl diff --git a/planAhead_run_1/planAhead.log b/planAhead_run_1/planAhead.log new file mode 100644 index 0000000..33e568b --- /dev/null +++ b/planAhead_run_1/planAhead.log @@ -0,0 +1,83 @@ +#----------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013 +# Start of session at: Sat Aug 24 14:51:32 2019 +# Process ID: 7025 +# Log file: /home/Luca/ISE/IEEE754Adder/planAhead_run_1/planAhead.log +# Journal file: /home/Luca/ISE/IEEE754Adder/planAhead_run_1/planAhead.jou +#----------------------------------------------------------- +INFO: [Common 17-78] Attempting to get a license: PlanAhead +INFO: [Common 17-290] Got license for PlanAhead +INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml +Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml] +Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml] +start_gui +source /home/Luca/ISE/IEEE754Adder/pa.fromNcd.tcl +# create_project -name IEEE754Adder -dir "/home/Luca/ISE/IEEE754Adder/planAhead_run_1" -part xa6slx4csg225-3 +# set srcset [get_property srcset [current_run -impl]] +# set_property design_mode GateLvl $srcset +# set_property edif_top_file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ngc" [ get_property srcset [ current_run ] ] +# add_files -norecurse { {/home/Luca/ISE/IEEE754Adder} } +# set_property target_constrs_file "SpecialCasesCheck.ucf" [current_fileset -constrset] +Adding file '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf' to fileset 'constrs_1' +# add_files [list {SpecialCasesCheck.ucf}] -fileset [get_property constrset [current_run]] +# link_design +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +Design is defaulting to project part: xa6slx4csg225-3 +Release 14.7 - ngc2edif P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Release 14.7 - ngc2edif P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +Reading design SpecialCasesCheck.ngc ... +WARNING:NetListWriters:298 - No output is written to SpecialCasesCheck.xncf, + ignored. +Processing design ... + Preping design's networks ... + Preping design's macros ... + finished :Prep +Writing EDIF netlist file SpecialCasesCheck.edif ... +ngc2edif: Total memory usage is 103004 kilobytes + +Parsing EDIF File [./planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif] +Finished Parsing EDIF File [./planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif] +Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/ClockRegion.xml +Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/ClockBuffers.xml +Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml... +Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/csg225/Package.xml +Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml +Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml +Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml +Parsing UCF File [/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf] +Finished Parsing UCF File [/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf] +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Phase 0 | Netlist Checksum: 684e9dfa +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 2835.180 ; gain = 156.531 +# read_xdl -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd" +Release 14.7 - xdl P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +WARNING:XDL:213 - The resulting xdl output will not have LUT equation strings or RAM INIT strings. +Loading device for application Rf_Device from file '6slx4.nph' in environment /opt/Xilinx/14.7/ISE_DS/ISE/. + "SpecialCasesCheck" is an NCD, version 3.2, device xa6slx4, package csg225, speed -3 +Successfully converted design '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd' to '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.xdl'. +INFO: [Designutils 20-669] Parsing Placement File : /home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd +INFO: [Designutils 20-658] Finished Parsing Placement File : /home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd +INFO: [Designutils 20-671] Placed 103 instances +read_xdl: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2835.180 ; gain = 0.000 +# if {[catch {read_twx -name results_1 -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx"} eInfo]} { +# puts "WARNING: there was a problem importing \"/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx\": $eInfo" +# } +exit +ERROR: [#UNDEF] *** Exception: ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR + (See /home/Luca/ISE/IEEE754Adder/planAhead_pid7025.debug) +ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors. +INFO: [Common 17-206] Exiting PlanAhead at Sat Aug 24 14:52:27 2019... +INFO: [Common 17-83] Releasing license: PlanAhead diff --git a/planAhead_run_1/planAhead_run.log b/planAhead_run_1/planAhead_run.log new file mode 100644 index 0000000..b66c313 --- /dev/null +++ b/planAhead_run_1/planAhead_run.log @@ -0,0 +1,74 @@ + +****** PlanAhead v14.7 (64-bit) + **** Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013 + ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. + +INFO: [Common 17-78] Attempting to get a license: PlanAhead +INFO: [Common 17-290] Got license for PlanAhead +INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml +Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml] +Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml] +start_gui +source /home/Luca/ISE/IEEE754Adder/pa.fromNcd.tcl +# create_project -name IEEE754Adder -dir "/home/Luca/ISE/IEEE754Adder/planAhead_run_1" -part xa6slx4csg225-3 +# set srcset [get_property srcset [current_run -impl]] +# set_property design_mode GateLvl $srcset +# set_property edif_top_file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ngc" [ get_property srcset [ current_run ] ] +# add_files -norecurse { {/home/Luca/ISE/IEEE754Adder} } +# set_property target_constrs_file "SpecialCasesCheck.ucf" [current_fileset -constrset] +Adding file '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf' to fileset 'constrs_1' +# add_files [list {SpecialCasesCheck.ucf}] -fileset [get_property constrset [current_run]] +# link_design +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +Design is defaulting to project part: xa6slx4csg225-3 +Release 14.7 - ngc2edif P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Release 14.7 - ngc2edif P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +Reading design SpecialCasesCheck.ngc ... +WARNING:NetListWriters:298 - No output is written to SpecialCasesCheck.xncf, + ignored. +Processing design ... + Preping design's networks ... + Preping design's macros ... + finished :Prep +Writing EDIF netlist file SpecialCasesCheck.edif ... +ngc2edif: Total memory usage is 103004 kilobytes + +Parsing EDIF File [./planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif] +Finished Parsing EDIF File [./planAhead_run_1/IEEE754Adder.data/cache/SpecialCasesCheck_ngc_ec4f3bca.edif] +Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/ClockRegion.xml +Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/ClockBuffers.xml +Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml... +Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/aspartan6/xa6slx4/csg225/Package.xml +Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml +Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml +Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml +Parsing UCF File [/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf] +Finished Parsing UCF File [/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ucf] +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Phase 0 | Netlist Checksum: 684e9dfa +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 2835.180 ; gain = 156.531 +# read_xdl -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd" +Release 14.7 - xdl P.20131013 (lin64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +WARNING:XDL:213 - The resulting xdl output will not have LUT equation strings or RAM INIT strings. +Loading device for application Rf_Device from file '6slx4.nph' in environment /opt/Xilinx/14.7/ISE_DS/ISE/. + "SpecialCasesCheck" is an NCD, version 3.2, device xa6slx4, package csg225, speed -3 +Successfully converted design '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd' to '/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.xdl'. +INFO: [Designutils 20-669] Parsing Placement File : /home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd +INFO: [Designutils 20-658] Finished Parsing Placement File : /home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.ncd +INFO: [Designutils 20-671] Placed 103 instances +read_xdl: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2835.180 ; gain = 0.000 +# if {[catch {read_twx -name results_1 -file "/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx"} eInfo]} { +# puts "WARNING: there was a problem importing \"/home/Luca/ISE/IEEE754Adder/SpecialCasesCheck.twx\": $eInfo" +# } +exit +ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors. +INFO: [Common 17-206] Exiting PlanAhead at Sat Aug 24 14:52:27 2019... +INFO: [Common 17-83] Releasing license: PlanAhead