From 3b54fbe6a0118f48c4df164777f05bab1de16c37 Mon Sep 17 00:00:00 2001 From: Giulio Date: Sat, 7 Sep 2019 15:54:31 +0200 Subject: [PATCH] Aggiunto modulo OutputSelector con test --- IEEE754Adder.xise | 44 ++++++++++------ OutputSelector.vhd | 34 ++++++++++++ OutputSelectorTest.vhd | 88 +++++++++++++++++++++++++++++++ OutputSelectorTest_isim_beh.exe | Bin 0 -> 21792 bytes OutputSelectorTest_isim_beh.wdb | Bin 0 -> 19376 bytes PrepareForShiftTest_isim_beh.wdb | Bin 22744 -> 0 bytes SpecialCasesTest_isim_beh.exe | Bin 21792 -> 21792 bytes SumDataAdapter.vhd | 25 +++++++-- SumDataAdapterTest.vhd | 32 +++++------ SumDataAdapterTest_isim_beh.exe | Bin 0 -> 21792 bytes fuse.log | 22 +++++--- fuse.xmsgs | 6 --- fuseRelaunch.cmd | 2 +- 13 files changed, 202 insertions(+), 51 deletions(-) create mode 100644 OutputSelector.vhd create mode 100644 OutputSelectorTest.vhd create mode 100644 OutputSelectorTest_isim_beh.exe create mode 100644 OutputSelectorTest_isim_beh.wdb delete mode 100644 PrepareForShiftTest_isim_beh.wdb create mode 100644 SumDataAdapterTest_isim_beh.exe diff --git a/IEEE754Adder.xise b/IEEE754Adder.xise index 9490c6c..8f99884 100644 --- a/IEEE754Adder.xise +++ b/IEEE754Adder.xise @@ -98,19 +98,19 @@ - - + + - - + + - + @@ -139,6 +139,16 @@ + + + + + + + + + + @@ -259,9 +269,9 @@ - - - + + + @@ -330,7 +340,7 @@ - + @@ -345,10 +355,10 @@ - - - - + + + + @@ -397,8 +407,8 @@ - - + + @@ -417,7 +427,7 @@ - + @@ -473,7 +483,7 @@ - + diff --git a/OutputSelector.vhd b/OutputSelector.vhd new file mode 100644 index 0000000..e335a05 --- /dev/null +++ b/OutputSelector.vhd @@ -0,0 +1,34 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity OutputSelector is + + port( + IS_NAN : in std_logic; + IS_ZERO : in std_logic; + IEEE_754_SUM : in std_logic_vector(31 downto 0); + RESULT : out std_logic_vector(31 downto 0) + ); + +end OutputSelector; + +architecture OutputSelectorArch of OutputSelector is + + signal NAN_OUT : std_logic_vector(31 downto 0); + +begin + + NAN_OUT <= "0" & "11111111" & "10000000000000000000000"; + + SELECT_PROCESS : process (IS_NAN, IS_ZERO, IEEE_754_SUM, NAN_OUT) + + begin + + for i in 31 downto 0 loop + RESULT(i) <= (not(IS_NAN) and not(IS_ZERO) and IEEE_754_SUM(i)) or (IS_NAN and NAN_OUT(i)); + end loop; + + end process; + +end OutputSelectorArch; + diff --git a/OutputSelectorTest.vhd b/OutputSelectorTest.vhd new file mode 100644 index 0000000..4d0e1d5 --- /dev/null +++ b/OutputSelectorTest.vhd @@ -0,0 +1,88 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +ENTITY OutputSelectorTest IS +END OutputSelectorTest; + +ARCHITECTURE behavior OF OutputSelectorTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT OutputSelector + PORT( + IS_NAN : IN std_logic; + IS_ZERO : IN std_logic; + IEEE_754_SUM : IN std_logic_vector(31 downto 0); + RESULT : OUT std_logic_vector(31 downto 0) + ); + END COMPONENT; + + + --Inputs + signal IS_NAN : std_logic := '0'; + signal IS_ZERO : std_logic := '0'; + signal IEEE_754_SUM : std_logic_vector(31 downto 0) := (others => '0'); + + --Outputs + signal RESULT : std_logic_vector(31 downto 0); + signal clock : std_logic; + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: OutputSelector PORT MAP ( + IS_NAN => IS_NAN, + IS_ZERO => IS_ZERO, + IEEE_754_SUM => IEEE_754_SUM, + RESULT => RESULT + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + test_proc: process + begin + IS_NAN <= '0'; + IS_ZERO <= '0'; + IEEE_754_SUM <= "0" & "00111000" & "00000100100010110000110"; + wait for clock_period; + IS_NAN <= '0'; + IS_ZERO <= '0'; + IEEE_754_SUM <= "1" & "11000010" & "00000011110010111000000"; + wait for clock_period; + IS_NAN <= '0'; + IS_ZERO <= '1'; + IEEE_754_SUM <= "0" & "00100111" & "01111111100000000000000"; + wait for clock_period; + IS_NAN <= '0'; + IS_ZERO <= '1'; + IEEE_754_SUM <= "1" & "00000010" & "01110000000000000000111"; + wait for clock_period; + IS_NAN <= '1'; + IS_ZERO <= '0'; + IEEE_754_SUM <= "0" & "11111111" & "00000000000000000000000"; + wait for clock_period; + IS_NAN <= '1'; + IS_ZERO <= '0'; + IEEE_754_SUM <= "1" & "00001111" & "10000000000000111100000"; + wait for clock_period; + IS_NAN <= '1'; + IS_ZERO <= '1'; + IEEE_754_SUM <= "0" & "00110000" & "00000000111000000000011"; + wait for clock_period; + IS_NAN <= '1'; + IS_ZERO <= '1'; + IEEE_754_SUM <= "1" & "11111111" & "00110011001100110011100"; + wait for clock_period; + end process; + +END; diff --git a/OutputSelectorTest_isim_beh.exe b/OutputSelectorTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)7 zmL^STOif7SgD=F8w8f@r3`wgt#utJ_t#3htN|Sz|h7ZJ$+7EnaViP>S=ecL*%rnpI zEM_Nky4>uYbMOEDpL6dy_uQ9fvh$y+3iK?rBt%x(M zZ*G+*FLpFe#_nhf_S?yp(Kwc?dN7yF;og6TRWd3wa&64z6wGT?2en04I zWh&UH`+?47tIFuwutykoT)lft_L@|E(f)7NexT!NmW;EL7}9fu_@UgYb?mF!>#8c1 zJD;^#*O1z*a;oFw`_ekLa+av?TJ1ifgyLT;I%n zM90-E8MmJJ|A|}qmJe>lKzt0;>=9M(N|RUNJ1(cDerFnNgU57? z(&UCc_A$fzuE%aO*g22gZm@SeHp^i1R)qb2++bTghS$^wMUS-`?4-x;Fxa0wHrrtD zdTfrt7WRbwb{K5C$L=)P6CS(EU@v;?Zi8L$*gXbwE5klMX|SapyVqd5JvPr^PkZcB z20QJsPaEt_kKJdmnXAHn69((?*nETS_1OIed)8yziPiV7d2FG_&gSD>?`~8f; z9`P7ULG=xJY>~l^du*}6&UkEz!LEAjL4(beg++|Yaw_++*<%vwWo*o25{6~$gvTUA z%GmEcCR19*u6azlFJpf z?ERxCQ++!HV}Gzo_Z{Blh(VJ6&VlD`QZ9E?d_kJ&mDl64zhy$Id#3>xz5Sm1njdIA zy_Va~W+tf~m+yMEg%mf$CMRh;uG)5v&2NI-m)R7@;o9*Y_nk+_(Eew3xbXWa;*WHd zO;l2pYv)3JcAm{OhI{GpP%n4QdqRp^#0D#=o?hO|*ndbT8gTKi`fP64Rb9Q7>%t?i zhTC;-*uZ#o3|AU(`Cg5+NJ?`6NQ&B~dWm%%?{*G`33AtPV2s1XHl4S`oOo{HTIe7< zh8Z34Tz4wuG8Z~;R~vBc9Phm|UayV;y}ITvG~im>`tKTFujO{#-I%L$(J?ok8yCOt z)#0kotRKd_^P=$($Gu#KtLqKhG|qN==SB4@*RJ`^K9K8iZ9JUdke3wY>b%)?@id2j z3|Gg1ULCLFQZPa8)dpPFo371^Tr9@XYq>iU0ky_99k1n{Z@|Sijo<$HV z>OIZHM^bbybi8&9ix$Llbzf)RRPV_;+_*WO%cV+EbPRFa;|;iW&9`$go1orj8gQ-N z8C>qh(QCO++yM7l1Fl^c!(1j~#t=6bS8jm2mkVi(UX2IdtzQo*?qVITZw`dN61lYh zEh)za_qa`@-rn9oQVjk?gphuY*Un8xZs0-EE2-R2gEUP(Sp{pusw2ubN?s?K?jM%S z)$yfSXa0NU)xw@@@{?9sZEmw**W_W%3#U70b6T!qFUnPDo?KhVMR~V-L5|~R`wrvZf zLv}YAy6cp(ZCfFA`lXLGk+v;TPx!WNk+wZjpD%6PL-lO>wEfN& zL|@}*Wc(z{`MNYPPqfPvIQk@6&QZbGS!lvOIGz^p1ajXIV4+BKU}v13Q^H}z!@(vv zl+i_hBHT};!iofAPUG~vE{&`%INYXnJd92CydjOO6gbRloSwI(ak1wxr>ckXtDft^ zS>iboda-&s#0Y&Y1&b_yaw!$n$3<)0bWyl>;9f zd(g$$nCqw%$c;*edC5;ne$;cce^DPikMFpsQunv?AO@*3o`mFI%kzJc{P!oFdqnE= z#T;p3Jd{;3MWt^y*_JdajF(=?qTN7RgerJ09%db{v%n!Dfq& z`@Np6Qg5V(y$n58O4WTuK=x|v(Y+C05TocM`CGTk2v_%W|7I5W&2rIC8WApgK6;rW zB=cvv{wD1X3zzc%j>bPRW4U_edrG*R6>$CAR?QgLe~HDT!eyPn^>14Nm*>=EhKTOT==g_PDtk5c}OH2&IKefWw{rno{+puxXVPs>F^RiTkaL9CnT>H zZc-#%op*d^x$jCnA$g;4yF|iee%d6%vs}I+NeK3N;qp}zT;>NZ{;=Ft(wGqJOTy(< z5-#%t7k^mpHqYHB+!fLWm$eQTe^_o>8c+XYtnIjP*Grp-@>NvhjOWn7oWuQrkTyyg zuFiXu%iO?yPDs6;%eXEH6Dc#!1zsO>+3Cypf3Yv)zXv6gv|n2W)3Mbn!)N|k9fqUw_12tz)kyofGO>CRRzzKS|?=m?6;)mv$uW zNZOHl7a(S+GnYtgN3tf7#14|AeQ;OFOa7O1qHS0FK=jqf{o(r@1F|u_aN!E_$Zwzj z=C>hzYtNxRZq4Z*z4Fsbvywx(kxVjM$RrPB2S!J;$*p~TeXCdYY)q#!`Q&4z;#jG; zBQu;CEavh%Glk-^gF|VT%#9V3yR*aD(L>4Zo@J|(TX*!OHt#?rrF}SA$PXs7nM@{G zD5g`xxdYk3RCo8Pp77xt=rMQz9i>2t>)h4pI(JL%lH4u%%aR|L+%I{H?D=rW{_#Uy-Bq1R{csh)C`thPrh!7n)+^=Wz`o&3b#nl@ z@KI5os!$?Wg>yKLRQ{q zBAGAlZyd1$qiL@zm-mH3<zJf~5Zk)EJ2C>dZbx5#U+>P;_Afrx+qYv!wE2Hbmp0A- literal 0 HcmV?d00001 diff --git a/PrepareForShiftTest_isim_beh.wdb b/PrepareForShiftTest_isim_beh.wdb deleted file mode 100644 index fcf26c1a4e592cddd3ab74d415b208a7d2540c8c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 22744 zcmeI3e{7xQb;n;5+NLegP+&{fY`w8VeiX>Ho!=&uIF2Fd3-%}% z=iGbl>wE3XWFybZ1IOn%=lQq9{68^`5|Mxt%{ojwG73&hp+!;lO-dO4zP-%JkrIzntGu5PL1GN-ET59@6v8cx9vdWpa7+8EvHojE!&Bny9 zo3%rh<0c#bzpig4CKeAnBX*x&EOMhY@V;Pw*sJ+?S74`huFEQ)B^JuQ`Oh9e7W>5_ z*T%zFm*if7pY!n^#ZK*93m<##MGNV_)sThC9m|!4vOk}(AxCKb#zB0T!#L|%Omb~L z*5*EbdS91%zfmlFRP22LCmvSY7K_|y4feUi{I_#!vhk&)Cl=MSSg)6SR+_Kcf}Pqq z7vBCt*LTbB`HB(o@#o3%&FZ4nn8hO3`s+Qf)^uWI=f`ToVv(yJS9?|q?44wLfX^0x z=vn`!&emD} zUl#ECfWkp9a?+T~e z8FW`W-AK@V-07YSI+d!5y)!{~ozuM&bSs^1WoI()C!B6i(0$VBCW3C2(|tYYe%kGP8r<)GCHm7?g=+-#ht3h|Y)BQ)#t#!Kfo0EArINj%h z?nb9O8FV)}-Jb^CMyGo{=#)y8*k8USnM2!L(X|JiwxFWBKj;*mith2C6RYT63_8nm z4Mk^zjz0&t9J*p_GB1A$Zo2J3*X49WL3gv$oeH|0PWMvKea7j|2i>hscdZ=byzO$j zT|w9FbfZDH+v%PPx*n(dLD21Sx}OJKuhXs4;+gk0r`sEJw>w=q==M6@(?NHwiiE}L zN77Lrd~5$Cr!@KdLUM+7Dq->5p&K)+N8F7J+ZT-Er*DSkGs7)mV7Yvu&4#@@fkdl< zqm8iKkk1>~=l&xv;48+AL=HVDWwFBlYbXmhXpcZLfZ`KKAF2 zCVS!I`vfl+wMIU8>^lvxwin)qK3-6D>>AeZ+w#P%rhFJ_fURful?K=|AD$V9^~n*O z;Y0MTnb^l0U@d?B+Rit?nq42Wy}X#~#LVpabA0*L^|2NQpT8?VULR|AeNMhM2iE7q z`D#=*Ut&cT-eaxO~fUTFm%fsPM=daoI@f~S^wK({gt@)MN{q-?B+W>24)1TuD zzgpj}`QU5RaxP42>9rY_-*H*(`#}S&`QZ0r&960Y_pt_8i?83ea}BVsw$D66d${{y@c%enljHS*Wz*(xr@vta$Xcz6yhpN;=2F&CX$mvquT zgyERkeQQy=c0@=T#mUMK7ZwzUuN$tJa9q(uu|{*Xpg+VApHtmz@S|zI<1xO zSE1gn(+|D4Qg$`zX%&})>X$|M=RY`FK%|Zvb%+lI_(oeV`YI2pQGdDfaF=P*gPd!E zy-|Jpx1SQDA<3K}#>P`)-;_*Di4k|k)2XpnBxl0dk5glBNnWin?vMYF z8oNg_bwVs|r(XM6-1Yu`MHLwnczA0&HTYPvvZ#?>@gVj zwVs`EVKxK|dun#@-|Uc87>()A3=xH6orT@6tRY-L10G6YS_tP}h#s@&5BX zbESDkx_;R>5bWF~xt>2#SL`qsc0A8W9+r&&6Pxt9q>Ak@2f3Q&8R?G7#_?e1 z0m*aO;hljU&oh$0BpXi!JHIWto*mY4y@==8w=|b3dOx+drcl9LmAO(fo}>Aj!DK&qxkUrr$uR=k#4hWY!7zECC_Dty8(7QKil=j#am^g zN5nZjsqw2sjr?H^ywB1cJNsngj$r5any|yW8atk2r(ZS>1UtXqgq=?XJDy|b0oiyc z*!f}uJDjhM@cimJ96Kju4p`oOaPov&XISoKv~$9e8(_!*ICacpIa{=!3CrCQ zO!&SbUcbk3H=_MQ*e-Ff;roUeSk4^pu>Ta6ItP~XMB}~1bLKDkk`di5#f{>6q*AN7 zLl~BryeP_uz9#HV;$XR$!|~N)UzeN_JtOQ!aWK~gPV7APyyT4N&xFm2gVnV-=ETEe z-<6yZeNWhSaj@)9i-~bkH(*32dba~E2!P_ejGV|pa6sbE^ayrVQ*I-EIC3mEIRD6POxi*-K#!& z>IN;1WpBW45O$yXU^628CC>O@cG(-S?ZSRG=vRx=(stRKXzE{E{zTZj)g@0`Mc8Fe zEN0k_zyB2W8^ZQW2HPeA%UP_4eOB0eH3oK#h-N+z1MHH|`0yQJ52y?FdJ%TnKU*7E z@&oL5g*~V)*tH_C?4QSyAB?>$?0w>3*NJHOz}dhi#2%kLbg|{Uu!qzqm)480%U;{s zG#vNAzAfRfWUw1VVA<<>*mr~-(im9Yp)`vF&taGNV)tJp98n+a4I;+L3+xgzu*3}P zze^ZVA1w8UW_Arr{RF#A1^jo^2TT3IZbpP%Vt`%Z4)%k>KBzue>JQEA8kX2Hc7?E` z;$W#iG_V$5>@r48-7ajO`oz0kL<7s(v5U{Qh&UVH6!!Dttd;sh^H}i28|-t!{+2jc z>JKfAC5C6uTx@w&*a`KC3-yOMtQHXm`WjC5LfD^5n3N25vxxClQ9bNy!j?4#c8iFH z4;~xuo9w`{$_-73Ovn+Pm1zz4?g_nd_3Aa4_=nO(yYYq0+$>~ZyZcDo3>Yed*3 zUf87;g8erMUr-;cy+5y4-(#tTU_YS4^Kii0`;-0mSn3eij|%%pz}_s559>r8OKo9n zrLd2RPVx$hHCr-htJrc5f5~%kv(d*k8i{TJZF%7pwD~CY8Lx)L(nt! zzwuL##dh%-8)m1G{=H&|J&p6@pY?slk(>3waZ`Akm`o70EqxYCb{n>nr>!|7~ z`$?Nm+43I0$aRx<-u%WzWagqF`}s3J`Nx)pl+*Lgmu%~M`pUOk{CZ8c^&+!A&J*oT zeV$^Bx=Lf5y7^vZv&Zw;YgT?Z%y0M=Z=J7sexYR6YRz&N+4`2Z)F7JGGHMu&aj=ZT z8HY0tSGkWl>p~_baAFVVdjUB03r@2+Y;NAICDF5@pNf7dI;aT^&v%P7`$bmt*I(nc zq)WxKubqdV{NXc?ei_goJuuxnsKnV%S#%->d=>>nu{DSs|sDsMbGG8AQt6Xoo|!f0W9I@_^%JN20Bc?SQ-_-a z<`aV~VJcojwC>(@(Yk}8c2S4u^P&TyKG9y$P3xj6Ja;rdD6eA8dwV{KSa^K8y`yGQ z$;WFHcrg{DQtqJ?G^GVDC&R7`?H(xQy3t74AV0|jM3 z;pYrgRT<^V#fjv{M3Rt4ijyT0vaE!R>gf*WM+S}-6#MDuSTs~PawM0Zo`}Zt`Jr6t zp@E5LaI`r1VDd9Jk)JFShoW*}EFT>yMH8iHycA89qOnp`$`3|kh4E;_ZDO3r)jdtb z$$Y6eI#n(d$CGtL*~3$X(V^^Uet2N;SgFv_p54E(v%O<$`;LxH*~#*exsS=f_)xG_ zoD7}mN^iVa4!Xgk>J@Wrf3s+jBQz5Cwo zzFhCY{ki@3+||?D-=B_`B9beQO+;PWw#^vo+O~bBu5*i9V${{SwMM+Nw^xoI=&sJ^ zLK7z}KXQWulat3-BrDT$dyxBz#Rt1b@&iMab;huq(l+c_BD2Eb{CHlKQfaCkDNYOw zC;h~3X=*GtUJR2|V{u<+B?uv`U0b$Vgu6O-1Yf!~wO4u_*6ZoM=brm=eRtjUo4M}2 Uz1@4fCHX%Xb#1OZzr~*aAC7SPh5!Hn diff --git a/SpecialCasesTest_isim_beh.exe b/SpecialCasesTest_isim_beh.exe index 3209988d8d84424c4d5c423f8f5aaa98e3cf50c8..11ae4f70553f0c5d3994a18a93c772b43d96d96f 100755 GIT binary patch delta 2813 zcmZ8ieQaCR6~EUXi4%W2cAlSG*UygQe63FGq=~&IsZ%?NT^u!q6a=D*^HpjVoUV3D z`Pdo+2pOxZ=#kKnsFu-bl&&42E@DDrqY5K1seb^~)Jd?m>ohrnPGxLWVGY^2&+nzy zj`Vxy+~4oqbI&_|esAG4T{uk_XVjH3k!OVhCAv}n7gbTqe%%n{i^ovVE{OAL4!QTdV6n3~uRYz(hMRryU zY|^4y@+QeE&{KpRVV1a!=sAQ_T5BZlK<`E9q_tA=ZRmYcTt&!s!sDU=QIF6fHY41K zPQ(^O7s7y$tr>%E#0Eqkq7^=&E_w;5YP_WnyE9{Z!h*A>q^jL~L#lUMnurEks$Ggs73`?? z^ABOOY%nQlFa>Gvqi~^m*zpdkX8cJuY>SAz+~Rc=+^g|Z4Lqaa2CQmnN>aaa(xl8w zzmPoDQxbnu>W9J`njXb%HGHS>dsao!K>qxHGt=M$#D-NGg~=LUaJRI)D%X(O{srK10vta5W}M%GJU{6cT+&ms;3(hzqOn|Wx=3qx zQz&Y;Xa>55I(mXbov_O=Vw4wKCJsC@H8cog+TptiKi9{cazY@yH=UW79i7PS8=5<3s7$ndscXOl}aybv^7*!+Jt+ZvRXMPH7_^nF`BW zvV&wiv2#3|n@(rO4`yekpkx2c^LbMZ@5FqsNU~VY`llTgFkFTs08P zP0dbDL5I2ZiiZnPG5em6huiB zL;-&=b4JP{e0?#GfK@Z^l)1Y+(^cgha9L(}eDc=q=Ju2rs?+feGKIb)ni5xRPc!VPmwuV(Lc~sujLKRsN7L^>T&k0KJXl*@sECQZyxo>|7W0 zq3RLW<;(48N(`9lg~w3!iRFpKlX1IuZf)#t3E7VR{MU{PP zWD8ZOA=q5c@$9QBTf9Q;FYc+oMd@2GWx2*zc})?sW?!RuB?X zpAW2k5WjF;8CN<==5pc=IO*=7X1L_;rxup2SQ4PkBOLjqfQRIjvAjFKvNHTVtExWA zxPtBX=#u&6f;~BPeQham;qS_%(o^dAk9cw##YA4^>z9Z*Kt+j(NStWBjTp?tgGx>3>pya^w1&tEAWFQ*k4 zLzkA7{>P^$Ka8qSRwVLOE33D~D${VS#V-6vtc8WJkJ2G=BO7V27~x2ZeCrvjp}Qj- S=p>{5U`GJM;%EIM_5TCkH#-9W delta 2918 zcmZuyeQZ)5OmoalV=mhXfK5QYUs2r*%p?Lc2|{JSQ<=DI_5_ zAKS`ERjSEz3#CVzR5YX@`p3xV1WPCFk5vP;B5O6IO+!;Rl>uYY91SMe2V*N)cJ6)e z;SB9qzk5D@_ndd`dC%`HEYgKVdNC>-t0siF5EY1aLRVB7K|G`SwWvD~K14IN@22%Ybz|_h&M9ugj%_%*k%kbm`9_=Iiq0VpBWop2 zk{gjZs~xozJbDL6`Xlv&Jl{n8jUm26TTZK)k76!;g`cZ zM&o5EGb|0HPb+)Rv{Qfes240Iyp0)>W3B6m-731N+bEJ zPtQ!v?B!Q6@0i(>J{+G*yquUzVcP!|hmzrZdxw&V!vo2w1Xey!Hkhw`xvUFzmTazm z(naT<nBqruKH0KKD;AzPIGyI5fXzIT?-1uRq0A?Ly4Li> z)Ob8Sv5$2DWtOP;q+Z*;cxvbRv*V2N4Yekx)2Z~=rxGv5_b2+vSYk4sj_^p~VzD)EDfaq3>ufk{wHGPAjtJnkB}DB>WzWa`KAArz z>~&fMXKW6Uy_la!Smq{UE8v>VVRCU_SuEfwcYv|HRP?NiN@1CMEXNB??3LhfNzHFMvVVRqZ?Sy;f4!RZe z6@yd{!xauudnd9j-w(@75&WN#QaFk%`}k<`ld#N9#+JeR6%M#iQEK}6x~L6qU=I6u zY4TZE<|fN=g30a>+2>D_Tv+BNW2;~rvJ&@|ij^s zTMxxzj9!IT#NBirek}UvF8HmuK+nN9T;B;8a|4gp)}jRwzj2vNC8syyP>^dzN(;FPj&z4cWBciM&6WHDc&9IOwmj zjw3POLCnW52&|I*H2lCnB8b(n>i5!ikZQVZxhjdg-a*pxw{{Fod>qTR{|u&Ux+(6g zsiNKRNlmwK^BFJ%x~Lbzfo^&O<^xV@fp-Hxw4B+%`gX2-`wP~Q{UN+k+ev>4r)u3a z0+(tx;KOsR)&#NOWxyUpT~GK^LtE-W`tY?&os5OU{;J~Zsy)mw)t3uezaWBe0XyFYFLM~FqA1= zS$)K-yb8DLol-fkg@v$>+##OGM%v9S9O;tSaG|1XQ?MntiHtP2q6)Sw{kmtk^1l&6 BNRj{m diff --git a/SumDataAdapter.vhd b/SumDataAdapter.vhd index c77bbaa..0201fa3 100644 --- a/SumDataAdapter.vhd +++ b/SumDataAdapter.vhd @@ -32,19 +32,34 @@ begin FILL <= (others => '0'); - X_Y_FST_BIT_PROCESS : process (X_IN, Y_IN) + X_FST_BIT_PROCESS : process (X_IN) - variable X_FST_TMP : std_logic := '0'; - variable Y_FST_TMP : std_logic := '0'; + variable X_FST_TMP : std_logic; begin + X_FST_TMP := '0'; + for i in 30 downto 23 loop X_FST_TMP := X_FST_TMP or X_IN(i); - Y_FST_TMP := Y_FST_TMP or Y_IN(i); end loop; X_FST_BIT <= X_FST_TMP; + + end process; + + Y_FST_BIT_PROCESS : process (Y_IN) + + variable Y_FST_TMP : std_logic; + + begin + + Y_FST_TMP := '0'; + + for i in 30 downto 23 loop + Y_FST_TMP := Y_FST_TMP or Y_IN(i); + end loop; + Y_FST_BIT <= Y_FST_TMP; end process; @@ -54,7 +69,7 @@ begin SHIFTER : ShiftRight48 port map (N => N, PLACES => DIFF_EXP, RESULT => Y_OUT); - --X_OUT <= X_FST_BIT & X_IN(22 downto 0) & FILL; + X_OUT <= X_FST_BIT & X_IN(22 downto 0) & FILL; end SumDataAdapterArch; diff --git a/SumDataAdapterTest.vhd b/SumDataAdapterTest.vhd index 3ee3dc4..746539f 100644 --- a/SumDataAdapterTest.vhd +++ b/SumDataAdapterTest.vhd @@ -67,36 +67,36 @@ BEGIN test_process :process begin - X_IN <= "111111110000010001000100000000"; - Y_IN <= "001001000000000010001000000000"; + X_IN <= "1111111100000100010001000000000"; + Y_IN <= "0010010000000000100010000000000"; DIFF_EXP <= "000000000"; --0 wait for clock_period; - X_IN <= "000000000000100000000001000000"; - Y_IN <= "000000000000001111111000000000"; + X_IN <= "0000000000001000000000010000000"; + Y_IN <= "0000000000000011111110000000000"; DIFF_EXP <= "000001000"; --8 wait for clock_period; - X_IN <= "000000000000000000111000000000"; - Y_IN <= "000010000000000000000000000111"; + X_IN <= "0000000000000000001110000000000"; + Y_IN <= "0000100000000000000000000001111"; DIFF_EXP <= "010011100"; --156 wait for clock_period; - X_IN <= "000000100000000000000000000000"; - Y_IN <= "000000001000000001111111111111"; + X_IN <= "0000001000000000000000000000000"; + Y_IN <= "0000000010000000011111111111111"; DIFF_EXP <= "000110000"; --48 wait for clock_period; - X_IN <= "000000000000000000000000010000"; - Y_IN <= "000000000000000000011100000000"; + X_IN <= "0000000000000000000000000100000"; + Y_IN <= "0000000000000000000111000000000"; DIFF_EXP <= "111111111"; --511 wait for clock_period; - X_IN <= "000000000000000000000000000000"; - Y_IN <= "000000000000011100000000000000"; + X_IN <= "0000000000000000000000000000000"; + Y_IN <= "0000000000000111000000000000000"; DIFF_EXP <= "000100100"; --36 wait for clock_period; - X_IN <= "000000000000000000000000000000"; - Y_IN <= "000000000000000000000000000000"; + X_IN <= "0000000000000000000000000000000"; + Y_IN <= "0000000000000000000000000000000"; DIFF_EXP <= "000001101"; --13 wait for clock_period; - X_IN <= "000000000000000001110001100100"; - Y_IN <= "000000000000000000000011110000"; + X_IN <= "0000000000000000011100011001000"; + Y_IN <= "0000000000000000000000111100000"; DIFF_EXP <= "000011111"; --31 wait for clock_period; end process; diff --git a/SumDataAdapterTest_isim_beh.exe b/SumDataAdapterTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N) -"/home/ise/gianni/IEEE754Adder/SumDataAdapterTest.vhd" Line 74: Expression has 30 elements ; expected 31 - - -Static elaboration of top level VHDL design unit sumdataadaptertest in library work failed - - diff --git a/fuseRelaunch.cmd b/fuseRelaunch.cmd index 609200b..27fca25 100644 --- a/fuseRelaunch.cmd +++ b/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "secureip" -o "/home/ise/gianni/IEEE754Adder/SumDataAdapterTest_isim_beh.exe" -prj "/home/ise/gianni/IEEE754Adder/SumDataAdapterTest_beh.prj" "work.SumDataAdapterTest" +-intstyle "ise" -incremental -lib "secureip" -o "/home/ise/gianni/IEEE754Adder/OutputSelectorTest_isim_beh.exe" -prj "/home/ise/gianni/IEEE754Adder/OutputSelectorTest_beh.prj" "work.OutputSelectorTest"